Dr Fikru Adamu-Lema

  • Affiliate (School of Engineering)

email: Fikru.Adamu-Lema@glasgow.ac.uk

School of Engineering, Rankine Building

Import to contacts

Publications

List by: Type | Date

Jump to: 2023 | 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2011 | 2005
Number of items: 49.

2023

Nagy, D. , Rezaei, A. , Xeni, N., Dutta, T. , Adamu-Lema, F., Topaloglu, I. , Georgiev, V. P. and Asenov, A. (2023) Hierarchical simulation of nanosheet field effect transistor: NESS flow. Solid-State Electronics, 199, 108489. (doi: 10.1016/j.sse.2022.108489)

2021

Medina-Bailon, C., Dutta, T. , Rezaei, A. , Nagy, D. , Adamu-Lema, F., Georgiev, V. P. and Asenov, A. (2021) Simulation and modeling of novel electronic device architectures with NESS (Nano-Electronic Simulation Software): a modular nano TCAD simulation framework. Micromachines, 12(6), 680. (doi: 10.3390/mi12060680)

Dutta, T. , Adamu-Lema, F., Nagy, D. , Asenov, A. , Nebesnyi, V., Han, J.-W. and Widjaja, Y. (2021) Equivalent Circuit Macro-Compact Model of the 1T Bipolar SRAM Cell. In: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD 2021), Dallas, TX, USA, 27-29 Sept 2021, pp. 285-288. ISBN 9781665406857 (doi: 10.1109/SISPAD54002.2021.9592536)

Dutta, T. , Medina Bailon, C., Rezaei, A. , Nagy, D. , Adamu-Lema, F., Xeni, N., Abourrig, Y., Kumar, N. , Georgiev, V. and Asenov, A. (2021) TCAD Simulation of Novel Semiconductor Devices. In: International Conference on ASIC (ASICON) 2021, Kunming, China, 26-29 October 2021, ISBN 9781665438674 (doi: 10.1109/ASICON52560.2021.9620465)

2020

Medina-Bailon, C., Dutta, T. , Adamu-Lema, F., Rezaei, A. , Nagy, D. , Georgiev, V. P. and Asenov, A. (2020) Nano-electronic simulation software (NESS): a novel open-source TCAD simulation environment. Journal of Microelectronic Manufacturing, 3(4), 20030407. (doi: 10.33079/jomm.20030407)

Dutta, T. , Adamu-Lema, F., Asenov, A. , Widjaja, Y. and Nebesnyi, V. (2020) Dynamic Simulation of Write ‘1’Operation in the Bi-stable 1-Transistor SRAM Cell. In: 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kobe, Japan, 23 Sept.-6 Oct. 2020, pp. 237-240. ISBN 9784863487635 (doi: 10.23919/SISPAD49475.2020.9241653)

Georgiev, V.P. , Sengupta, A. , Maciazek, P., Badami, O., Medina-Bailon, C., Dutta, T. , Adamu-Lema, F. and Asenov, A. (2020) Simulation of Gated GaAs-AlGaAs Resonant Tunneling Diodes for Tunable Terahertz Communication Applications. In: 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kobe, Japan, 23 Sep - 06 Oct 2020, pp. 241-244. ISBN 9784863487635 (doi: 10.23919/SISPAD49475.2020.9241677)

Lapham, P., Badami, O., Medina-Bailon, C., Adamu-Lema, F., Dutta, T. , Nagy, D. , Georgiev, V. and Asenov, A. (2020) A Combined First Principles and Kinetic Monte Carlo study of Polyoxometalate based Molecular Memory Devices. In: 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kobe, Japan, 23 Sep - 06 Oct 2020, pp. 273-276. ISBN 9784863487635 (doi: 10.23919/SISPAD49475.2020.9241606)

Medina Bailon, C., Badami, O., Carrillo-Nunez, H., Dutta, T. , Nagy, D. , Adamu-Lema, F., Georgiev, V. P. and Asenov, A. (2020) Enhanced Capabilities of the Nano-Electronic Simulation Software (NESS). In: 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kobe, Japan, 23 Sep - 06 Oct 2020, pp. 293-296. ISBN 9781728173542 (doi: 10.23919/SISPAD49475.2020.9241594)

Xeni, N., Ghannam, R. , Georgiev, V. , Adamu-Lema, F., Badami, O. and Asenov, A. (2020) The Use of TCAD Simulations in Semiconductor Devices Teaching. Transnational Engineering Education Using Technology Workshop (TREET 2020), Glasgow, UK, 31 Jul 2020. ISBN 9781728188515 (doi: 10.1109/TREET50959.2020.9189752)

Berrada, S., Carrillo-Nunez, H., Lee, J., Medina Bailon, C., Dutta, T. , Badami, O., Adamu-Lema, F., Thirunavukkarasu, V., Georgiev, V. and Asenov, A. (2020) Nano-electronic Simulation Software (NESS): a flexible nano-device simulation platform. Journal of Computational Electronics, 19, pp. 1031-1046. (doi: 10.1007/s10825-020-01519-0)

Badami, O., Sadi, T., Adamu-Lema, F., Lapham, P., Mu, D., Nagy, D. , Georgiev, V. , Ding, J. and Asenov, A. (2020) A Kinetic Monte Carlo study of retention time in a POM molecule-based flash memory. IEEE Transactions on Nanotechnology, 19, pp. 704-710. (doi: 10.1109/TNANO.2020.3016182)

Adamu-Lema, F., Monzio Compagnoni, C., Badami, O., Georgiev, V. and Asenov, A. (2020) RTN and its intrinsic interaction with statistical variability sources in advanced nano-scale devices: a simulation study. In: Grasser, T. (ed.) Noise in Nanoscale Semiconductor Devices. Springer: Cham, pp. 441-466. ISBN 9783030374990 (doi: 10.1007/978-3-030-37500-3_13)

2019

Thirunavukkarasu, V. et al. (2019) Efficient Coupled-mode space based Non-Equilibrium Green’s Function Approach for Modeling Quantum Transport and Variability in Vertically Stacked SiNW FETs. In: 2019 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Udine, Italy, 4-6 Sept. 2019, ISBN 9781728109404 (doi: 10.1109/SISPAD.2019.8870400)

Duan, M. , Navarro, C., Cheng, B., Adamu-Lema, F., Wang, X., Georgiev, V.P. , Gamiz, F., Millar, C. and Asenov, A. (2019) Thorough understanding of retention time of Z2FET memory operation. IEEE Transactions on Electron Devices, 66(1), pp. 383-388. (doi: 10.1109/TED.2018.2877977)

2018

Lee, J., Badami, O., Carrillo-Nunez, H., Berrada, S., Medina-Bailon, C., Dutta, T. , Adamu-Lema, F., Georgiev, V. P. and Asenov, A. (2018) Variability predictions for the next technology generations of n-type SixGe1-x nanowire MOSFETs. Micromachines, 9(12), 643. (doi: 10.3390/mi9120643)

Berrada, S., Dutta, T. , Carrillo-Nunez, H., Duan, M. , Adamu-Lema, F., Lee, J., Georgiev, V. , Medina Bailon, C. and Asenov, A. (2018) NESS: new flexible Nano-Electronic Simulation Software. In: 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Austin, TX, 24-26 Sept 2018, pp. 22-25. ISBN 9781538667910 (doi: 10.1109/SISPAD.2018.8551701)

Berrada, S., Lee, J., Carrillo-Nunez, H., Medina Bailon, C., Adamu-Lema, F., Georgiev, V. and Asenov, A. (2018) Quantum Transport Investigation of Threshold Voltage Variability in Sub-10 nm JunctionlessSi Nanowire FETs. In: 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Austin, TX, 24-26 Sept 2018, pp. 244-247. ISBN 9781538667910 (doi: 10.1109/SISPAD.2018.8551638)

Duan, M. , Cheng, B., Adamu-Lema, F., Asenov, P., Dutta, T. , Wang, X., Georgiev, V. P. , Millar, C., Pfaeffli, P. and Asenov, A. (2018) Statistical Variability Simulation of Novel Capacitor-less Z2FET DRAM: From Transistor Circuit. In: 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Austin, Texas, USA, 24-26 Sept. 2018, pp. 258-261. ISBN 9781538667903 (doi: 10.1109/SISPAD.2018.8551710)

Carrillo-Nunez, H., Lee, J., Berrada, S., Medina-Bailon, C., Adamu-Lema, F., Luisier, M., Asenov, A. and Georgiev, V. P. (2018) Random dopant-induced variability in Si-InAs nanowire tunnel FETs: a quantum transport simulation study. IEEE Electron Device Letters, 39(9), pp. 1473-1476. (doi: 10.1109/LED.2018.2859586)

Lee, J. et al. (2018) Understanding electromigration in Cu-CNT composite interconnects: a multiscale electrothermal simulation study. IEEE Transactions on Electron Devices, 65(9), pp. 3884-3892. (doi: 10.1109/TED.2018.2853550)

Cristoloveanu, S. et al. (2018) A review of the Z²-FET 1T-DRAM memory: operation mechanisms and key parameters. Solid-State Electronics, 143, pp. 10-19. (doi: 10.1016/j.sse.2017.11.012)

Georgiev, V. P. , Dochioiu, A.-I., Adamu-Lema, F., Berrada, S., Mirza, M. M. , Paul, D. and Asenov, A. (2018) Variability Study of High Current Junctionless Silicon Nanowire Transistors. In: 12th IEEE Nanotechnology Materials and Devices Conference (NMDC 2017), Singapore, 2-4 Oct 2017, ISBN 9781538627723 (doi: 10.1109/NMDC.2017.8350514)

2017

Navarro, C. et al. (2017) Z²-FET as capacitor-less eDRAM cell for high-density integration. IEEE Transactions on Electron Devices, 64(12), pp. 4904-4909. (doi: 10.1109/TED.2017.2759308)

Adamu-Lema, F., Duan, M. , Navarro, C., Georgiev, V. , Cheng, B., Wang, X., Millar, C., Gamiz, F. and Asenov, A. (2017) Simulation Based DC and Dynamic Behaviour Characterization of Z2FET. In: SISPAD 2017: International Conference on Simulation of Semiconductor Processes and Devices, Kamakura, Japan, 7-9 Sept 2017, pp. 317-320. (doi: 10.23919/SISPAD.2017.8085328)

Al-Ameri, T. , Georgiev, V.P. , Adamu-Lema, F. and Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson-Schrödinger/3D Monte Carlo Simulation Study. In: 2017 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kamakura, Japan, 7-9 Sept 2017, (doi: 10.23919/SISPAD.2017.8085263)

Duan, M. , Adamu-Lema, F., Cheng, B., Navarro, C., Wang, X., Georgiev, V.P. , Gamiz, F., Millar, C. and Asenov, A. (2017) 2D-TCAD Simulation on Retention Time of Z2FET for DRAM Application. In: SISPAD 2017: International Conference on Simulation of Semiconductor Processes and Devices, Kamakura, Japan, 7-9 Sept 2017, pp. 325-328. (doi: 10.23919/SISPAD.2017.8085330)

Wang, X., Georgiev, V. P. , Adamu-Lema, F., Gerrer, L., Amoroso, S. M. and Asenov, A. (2017) TCAD-based design technology co-optimization for variability in nanoscale SOI FinFETs. In: Deleonibus, S. (ed.) Integrated Nanodevice and Nanosystem Fabrication. Series: Pan Stanford series on intelligent nanosystems. Pan Stanford: Singapore, pp. 215-252. ISBN 9789814774222

Al-Ameri, T. , Georgiev, V.P. , Adamu-Lema, F. and Asenov, A. (2017) Simulation study of vertically stacked lateral Si nanowires transistors for 5 nm CMOS applications. IEEE Journal of the Electron Devices Society, 5(6), pp. 466-472. (doi: 10.1109/JEDS.2017.2752465)

Duan, M. et al. (2017) Interaction Between Hot Carrier Aging and PBTI Degradation in nMOSFETs: Characterization, Modelling and Lifetime Prediction. In: 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2-6 Apr 2017, XT5.1-XT5.7. (doi: 10.1109/IRPS.2017.7936419)

Al-Ameri, T. , Georgiev, V. P. , Sadi, T., Wang, Y., Adamu-Lema, F., Wang, X., Amoroso, S. M., Towie, E., Brown, A. and Asenov, A. (2017) Impact of quantum confinement on transport and the electrostatic driven performance of silicon nanowire transistors at the scaling limit. Solid-State Electronics, 129, pp. 73-80. (doi: 10.1016/j.sse.2016.12.015)

Adamu-Lema, F., Duan, M. , Berrada, S., Lee, J., Al-Ameri, T. , Georgiev, V. and Asenov, A. (2017) Modelling and simulation of advanced semiconductor devices. ECS Transactions, 80(4), pp. 33-42. (doi: 10.1149/08004.0033ecst)

Al-Ameri, T. , Georgiev, V.P. , Adamu-Lema, F. and Asenov, A. (2017) Position-Dependent Performance in 5 nm Vertically Stacked Lateral Si Nanowires Transistors. International Workshop on Computational Nanotechnology, Windermere, UK, 5-9 June 2017.

Al-Ameri, T. , Georgiev, V.P. , Adamu-Lema, F. and Asenov, A. (2017) Variability-Aware Simulations of 5 nm Vertically Stacked Lateral Si Nanowires Transistors. International Workshop on Computational Nanotechnology, Windermere, UK, 5-9 June 2017.

2016

Al-Ameri, T. , Georgiev, V. , Adamu-Lema, F. and Asenov, A. (2016) Influence of Quantum Confinement Effects and Device Electrostatic Driven Performance in Ultra-Scaled SixGe1-x Nanowire Transistors. In: 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS 2016), Vienna, Austria, 25-27 Jan 2016, pp. 234-237. ISBN 9781467386104 (doi: 10.1109/ULIS.2016.7440096)

2015

Georgiev, V. P. , Amoroso, S. M., Gerrer, L., Adamu-Lema, F. and Asenov, A. (2015) Interplay between quantum mechanical effects and a discrete trap position in ultrascaled FinFETs. In: SISPAD 2015: International Conference on Semiconductor Process and Device Simulations, Washington, DC, USA, 9-11 Sept 2015, pp. 246-249. ISBN 9781467378581 (doi: 10.1109/SISPAD.2015.7292305)

Amoroso, S. M., Adamu-Lema, F., Brown, A. R. and Asenov, A. (2015) A mobility correction approach for overcoming artifacts in atomistic drift-diffusion simulation of nano-MOSFETs. IEEE Transactions on Electron Devices, 62(6), pp. 2056-2060. (doi: 10.1109/TED.2015.2419815)

Adamu-Lema, F., Wang, X., Amoroso, S.M., Gerrer, L., Millar, C. and Asenov, A. (2015) Comprehensive 'Atomistic' Simulation of Statistical Variability and Reliability in 14 nm Generation FinFETs. In: 20th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Washington D.C.,USA, 09-11 Sep 2015, pp. 157-160. ISBN 9781467378598

Al-Ameri, T. , Wang, Y., Georgiev, V.P. , Adamu-Lema, F., Wang, X. and Asenov, A. (2015) Correlation between Gate Length, Geometry and Electrostatic Driven Performance in Ultra-Scaled Silicon Nanowire Transistors. In: 10th IEEE Nanotechnology Materials and Devices Conference (NMDC), Anchorage, AK, USA, 13-16 Sep 2015, pp. 30-34. ISBN 9781467393621 (doi: 10.1109/NMDC.2015.7439240)

2014

Adamu-Lema, F., Wang, X., Amoroso, S. M., Riddet, C., Cheng, B., Shifren, L., Aitken, R., Sinha, S., Yeric, G. and Asenov, A. (2014) Performance and variability of doped multithreshold FinFETs for 10-nm CMOS. IEEE Transactions on Electron Devices, 61(10), pp. 3372-3378. (doi: 10.1109/TED.2014.2346544)

Asenov, A. , Adamu-Lema, F., Wang, X. and Amoroso, S. M. (2014) Problems with the continuous doping TCAD simulations of decananometer CMOS transistors. IEEE Transactions on Electron Devices, 61(8), pp. 2745-2751. (doi: 10.1109/TED.2014.2332034)

Amoroso, S. M., Gerrer, L., Hussin, R., Adamu-Lema, F. and Asenov, A. (2014) Time-dependent 3-D statistical KMC simulation of reliability in nanoscale MOSFETs. IEEE Transactions on Electron Devices, 61(6), pp. 1956-1962. (doi: 10.1109/TED.2014.2318172)

Gerrer, L., Ding, J., Amoroso, S.M., Adamu-Lema, F., Hussin, R., Reid, D., Millar, C. and Asenov, A. (2014) Modelling RTN and BTI in nanoscale MOSFETs from device to circuit: a review. Microelectronics Reliability, 54(4), pp. 682-697. (doi: 10.1016/j.microrel.2014.01.024)

Adamu-Lema, F., Amoroso, S.M., Wang, X., Cheng, B., Shifren, L., Aitken, R., Sinha, S., Yeric, G. and Asenov, A. (2014) The discrepancy between the uniform and variability aware atomistic TCAD simulations of decananometer bulk MOSFETs and FinFETs. In: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Yokohama, 9-11 Sept. 2014, pp. 285-288. ISBN 9781479952878 (doi: 10.1109/SISPAD.2014.6931619)

Asenov, A. , Cheng, B., Adamu-Lema, F., Shifren, L., Sinha, S., Ridet, C., Alexander, C. L., Brown, A. R., Wang, X. and Amoroso, S. M. (2014) Predictive simulation of future CMOS technologies and their impact on circuits. In: 2014 IEEE 12th International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014), Guilin, China, 28-31 Oct. 2014, pp. 1411-1414.

2013

Gerrer, L., Amoroso, S. M., Markov, S., Adamu-Lema, F. and Asenov, A. (2013) 3-D statistical simulation comparison of oxide reliability of planar MOSFETs and FinFET. IEEE Transactions on Electron Devices, 60(12), pp. 4008-4013. (doi: 10.1109/TED.2013.2285588)

Wang, X., Adamu-Lema, F., Cheng, B. and Asenov, A. (2013) Geometry, temperature, and body bias dependence of statistical variability in 20-nm bulk CMOS technology: a comprehensive simulation analysis. IEEE Transactions on Electron Devices, 60(5), pp. 1547-1554. (doi: 10.1109/TED.2013.2254490)

2011

Asenov, P., Adamu-Lema, F., Roy, S., Millar, C., Asenov, A. , Roy, G., Kovac, U. and Reid, D. (2011) The effect of compact modelling strategy on SNM and Read Current variability in Modern SRAM. In: 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, 8-10 Sep 2011, pp. 283-286. ISBN 9781612844190 (doi: 10.1109/SISPAD.2011.6035024)

2005

Cheng, B., Roy, S., Roy, G., Adamu-Lema, F. and Asenov, A. (2005) Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells. Solid-State Electronics, 49(5), pp. 740-746. (doi: 10.1016/j.sse.2004.09.005)

This list was generated on Fri Apr 26 22:44:08 2024 BST.
Number of items: 49.

Articles

Nagy, D. , Rezaei, A. , Xeni, N., Dutta, T. , Adamu-Lema, F., Topaloglu, I. , Georgiev, V. P. and Asenov, A. (2023) Hierarchical simulation of nanosheet field effect transistor: NESS flow. Solid-State Electronics, 199, 108489. (doi: 10.1016/j.sse.2022.108489)

Medina-Bailon, C., Dutta, T. , Rezaei, A. , Nagy, D. , Adamu-Lema, F., Georgiev, V. P. and Asenov, A. (2021) Simulation and modeling of novel electronic device architectures with NESS (Nano-Electronic Simulation Software): a modular nano TCAD simulation framework. Micromachines, 12(6), 680. (doi: 10.3390/mi12060680)

Medina-Bailon, C., Dutta, T. , Adamu-Lema, F., Rezaei, A. , Nagy, D. , Georgiev, V. P. and Asenov, A. (2020) Nano-electronic simulation software (NESS): a novel open-source TCAD simulation environment. Journal of Microelectronic Manufacturing, 3(4), 20030407. (doi: 10.33079/jomm.20030407)

Berrada, S., Carrillo-Nunez, H., Lee, J., Medina Bailon, C., Dutta, T. , Badami, O., Adamu-Lema, F., Thirunavukkarasu, V., Georgiev, V. and Asenov, A. (2020) Nano-electronic Simulation Software (NESS): a flexible nano-device simulation platform. Journal of Computational Electronics, 19, pp. 1031-1046. (doi: 10.1007/s10825-020-01519-0)

Badami, O., Sadi, T., Adamu-Lema, F., Lapham, P., Mu, D., Nagy, D. , Georgiev, V. , Ding, J. and Asenov, A. (2020) A Kinetic Monte Carlo study of retention time in a POM molecule-based flash memory. IEEE Transactions on Nanotechnology, 19, pp. 704-710. (doi: 10.1109/TNANO.2020.3016182)

Duan, M. , Navarro, C., Cheng, B., Adamu-Lema, F., Wang, X., Georgiev, V.P. , Gamiz, F., Millar, C. and Asenov, A. (2019) Thorough understanding of retention time of Z2FET memory operation. IEEE Transactions on Electron Devices, 66(1), pp. 383-388. (doi: 10.1109/TED.2018.2877977)

Lee, J., Badami, O., Carrillo-Nunez, H., Berrada, S., Medina-Bailon, C., Dutta, T. , Adamu-Lema, F., Georgiev, V. P. and Asenov, A. (2018) Variability predictions for the next technology generations of n-type SixGe1-x nanowire MOSFETs. Micromachines, 9(12), 643. (doi: 10.3390/mi9120643)

Carrillo-Nunez, H., Lee, J., Berrada, S., Medina-Bailon, C., Adamu-Lema, F., Luisier, M., Asenov, A. and Georgiev, V. P. (2018) Random dopant-induced variability in Si-InAs nanowire tunnel FETs: a quantum transport simulation study. IEEE Electron Device Letters, 39(9), pp. 1473-1476. (doi: 10.1109/LED.2018.2859586)

Lee, J. et al. (2018) Understanding electromigration in Cu-CNT composite interconnects: a multiscale electrothermal simulation study. IEEE Transactions on Electron Devices, 65(9), pp. 3884-3892. (doi: 10.1109/TED.2018.2853550)

Cristoloveanu, S. et al. (2018) A review of the Z²-FET 1T-DRAM memory: operation mechanisms and key parameters. Solid-State Electronics, 143, pp. 10-19. (doi: 10.1016/j.sse.2017.11.012)

Navarro, C. et al. (2017) Z²-FET as capacitor-less eDRAM cell for high-density integration. IEEE Transactions on Electron Devices, 64(12), pp. 4904-4909. (doi: 10.1109/TED.2017.2759308)

Al-Ameri, T. , Georgiev, V.P. , Adamu-Lema, F. and Asenov, A. (2017) Simulation study of vertically stacked lateral Si nanowires transistors for 5 nm CMOS applications. IEEE Journal of the Electron Devices Society, 5(6), pp. 466-472. (doi: 10.1109/JEDS.2017.2752465)

Al-Ameri, T. , Georgiev, V. P. , Sadi, T., Wang, Y., Adamu-Lema, F., Wang, X., Amoroso, S. M., Towie, E., Brown, A. and Asenov, A. (2017) Impact of quantum confinement on transport and the electrostatic driven performance of silicon nanowire transistors at the scaling limit. Solid-State Electronics, 129, pp. 73-80. (doi: 10.1016/j.sse.2016.12.015)

Adamu-Lema, F., Duan, M. , Berrada, S., Lee, J., Al-Ameri, T. , Georgiev, V. and Asenov, A. (2017) Modelling and simulation of advanced semiconductor devices. ECS Transactions, 80(4), pp. 33-42. (doi: 10.1149/08004.0033ecst)

Amoroso, S. M., Adamu-Lema, F., Brown, A. R. and Asenov, A. (2015) A mobility correction approach for overcoming artifacts in atomistic drift-diffusion simulation of nano-MOSFETs. IEEE Transactions on Electron Devices, 62(6), pp. 2056-2060. (doi: 10.1109/TED.2015.2419815)

Adamu-Lema, F., Wang, X., Amoroso, S. M., Riddet, C., Cheng, B., Shifren, L., Aitken, R., Sinha, S., Yeric, G. and Asenov, A. (2014) Performance and variability of doped multithreshold FinFETs for 10-nm CMOS. IEEE Transactions on Electron Devices, 61(10), pp. 3372-3378. (doi: 10.1109/TED.2014.2346544)

Asenov, A. , Adamu-Lema, F., Wang, X. and Amoroso, S. M. (2014) Problems with the continuous doping TCAD simulations of decananometer CMOS transistors. IEEE Transactions on Electron Devices, 61(8), pp. 2745-2751. (doi: 10.1109/TED.2014.2332034)

Amoroso, S. M., Gerrer, L., Hussin, R., Adamu-Lema, F. and Asenov, A. (2014) Time-dependent 3-D statistical KMC simulation of reliability in nanoscale MOSFETs. IEEE Transactions on Electron Devices, 61(6), pp. 1956-1962. (doi: 10.1109/TED.2014.2318172)

Gerrer, L., Ding, J., Amoroso, S.M., Adamu-Lema, F., Hussin, R., Reid, D., Millar, C. and Asenov, A. (2014) Modelling RTN and BTI in nanoscale MOSFETs from device to circuit: a review. Microelectronics Reliability, 54(4), pp. 682-697. (doi: 10.1016/j.microrel.2014.01.024)

Gerrer, L., Amoroso, S. M., Markov, S., Adamu-Lema, F. and Asenov, A. (2013) 3-D statistical simulation comparison of oxide reliability of planar MOSFETs and FinFET. IEEE Transactions on Electron Devices, 60(12), pp. 4008-4013. (doi: 10.1109/TED.2013.2285588)

Wang, X., Adamu-Lema, F., Cheng, B. and Asenov, A. (2013) Geometry, temperature, and body bias dependence of statistical variability in 20-nm bulk CMOS technology: a comprehensive simulation analysis. IEEE Transactions on Electron Devices, 60(5), pp. 1547-1554. (doi: 10.1109/TED.2013.2254490)

Cheng, B., Roy, S., Roy, G., Adamu-Lema, F. and Asenov, A. (2005) Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells. Solid-State Electronics, 49(5), pp. 740-746. (doi: 10.1016/j.sse.2004.09.005)

Book Sections

Adamu-Lema, F., Monzio Compagnoni, C., Badami, O., Georgiev, V. and Asenov, A. (2020) RTN and its intrinsic interaction with statistical variability sources in advanced nano-scale devices: a simulation study. In: Grasser, T. (ed.) Noise in Nanoscale Semiconductor Devices. Springer: Cham, pp. 441-466. ISBN 9783030374990 (doi: 10.1007/978-3-030-37500-3_13)

Wang, X., Georgiev, V. P. , Adamu-Lema, F., Gerrer, L., Amoroso, S. M. and Asenov, A. (2017) TCAD-based design technology co-optimization for variability in nanoscale SOI FinFETs. In: Deleonibus, S. (ed.) Integrated Nanodevice and Nanosystem Fabrication. Series: Pan Stanford series on intelligent nanosystems. Pan Stanford: Singapore, pp. 215-252. ISBN 9789814774222

Conference or Workshop Item

Xeni, N., Ghannam, R. , Georgiev, V. , Adamu-Lema, F., Badami, O. and Asenov, A. (2020) The Use of TCAD Simulations in Semiconductor Devices Teaching. Transnational Engineering Education Using Technology Workshop (TREET 2020), Glasgow, UK, 31 Jul 2020. ISBN 9781728188515 (doi: 10.1109/TREET50959.2020.9189752)

Al-Ameri, T. , Georgiev, V.P. , Adamu-Lema, F. and Asenov, A. (2017) Position-Dependent Performance in 5 nm Vertically Stacked Lateral Si Nanowires Transistors. International Workshop on Computational Nanotechnology, Windermere, UK, 5-9 June 2017.

Al-Ameri, T. , Georgiev, V.P. , Adamu-Lema, F. and Asenov, A. (2017) Variability-Aware Simulations of 5 nm Vertically Stacked Lateral Si Nanowires Transistors. International Workshop on Computational Nanotechnology, Windermere, UK, 5-9 June 2017.

Conference Proceedings

Dutta, T. , Adamu-Lema, F., Nagy, D. , Asenov, A. , Nebesnyi, V., Han, J.-W. and Widjaja, Y. (2021) Equivalent Circuit Macro-Compact Model of the 1T Bipolar SRAM Cell. In: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD 2021), Dallas, TX, USA, 27-29 Sept 2021, pp. 285-288. ISBN 9781665406857 (doi: 10.1109/SISPAD54002.2021.9592536)

Dutta, T. , Medina Bailon, C., Rezaei, A. , Nagy, D. , Adamu-Lema, F., Xeni, N., Abourrig, Y., Kumar, N. , Georgiev, V. and Asenov, A. (2021) TCAD Simulation of Novel Semiconductor Devices. In: International Conference on ASIC (ASICON) 2021, Kunming, China, 26-29 October 2021, ISBN 9781665438674 (doi: 10.1109/ASICON52560.2021.9620465)

Dutta, T. , Adamu-Lema, F., Asenov, A. , Widjaja, Y. and Nebesnyi, V. (2020) Dynamic Simulation of Write ‘1’Operation in the Bi-stable 1-Transistor SRAM Cell. In: 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kobe, Japan, 23 Sept.-6 Oct. 2020, pp. 237-240. ISBN 9784863487635 (doi: 10.23919/SISPAD49475.2020.9241653)

Georgiev, V.P. , Sengupta, A. , Maciazek, P., Badami, O., Medina-Bailon, C., Dutta, T. , Adamu-Lema, F. and Asenov, A. (2020) Simulation of Gated GaAs-AlGaAs Resonant Tunneling Diodes for Tunable Terahertz Communication Applications. In: 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kobe, Japan, 23 Sep - 06 Oct 2020, pp. 241-244. ISBN 9784863487635 (doi: 10.23919/SISPAD49475.2020.9241677)

Lapham, P., Badami, O., Medina-Bailon, C., Adamu-Lema, F., Dutta, T. , Nagy, D. , Georgiev, V. and Asenov, A. (2020) A Combined First Principles and Kinetic Monte Carlo study of Polyoxometalate based Molecular Memory Devices. In: 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kobe, Japan, 23 Sep - 06 Oct 2020, pp. 273-276. ISBN 9784863487635 (doi: 10.23919/SISPAD49475.2020.9241606)

Medina Bailon, C., Badami, O., Carrillo-Nunez, H., Dutta, T. , Nagy, D. , Adamu-Lema, F., Georgiev, V. P. and Asenov, A. (2020) Enhanced Capabilities of the Nano-Electronic Simulation Software (NESS). In: 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kobe, Japan, 23 Sep - 06 Oct 2020, pp. 293-296. ISBN 9781728173542 (doi: 10.23919/SISPAD49475.2020.9241594)

Thirunavukkarasu, V. et al. (2019) Efficient Coupled-mode space based Non-Equilibrium Green’s Function Approach for Modeling Quantum Transport and Variability in Vertically Stacked SiNW FETs. In: 2019 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Udine, Italy, 4-6 Sept. 2019, ISBN 9781728109404 (doi: 10.1109/SISPAD.2019.8870400)

Berrada, S., Dutta, T. , Carrillo-Nunez, H., Duan, M. , Adamu-Lema, F., Lee, J., Georgiev, V. , Medina Bailon, C. and Asenov, A. (2018) NESS: new flexible Nano-Electronic Simulation Software. In: 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Austin, TX, 24-26 Sept 2018, pp. 22-25. ISBN 9781538667910 (doi: 10.1109/SISPAD.2018.8551701)

Berrada, S., Lee, J., Carrillo-Nunez, H., Medina Bailon, C., Adamu-Lema, F., Georgiev, V. and Asenov, A. (2018) Quantum Transport Investigation of Threshold Voltage Variability in Sub-10 nm JunctionlessSi Nanowire FETs. In: 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Austin, TX, 24-26 Sept 2018, pp. 244-247. ISBN 9781538667910 (doi: 10.1109/SISPAD.2018.8551638)

Duan, M. , Cheng, B., Adamu-Lema, F., Asenov, P., Dutta, T. , Wang, X., Georgiev, V. P. , Millar, C., Pfaeffli, P. and Asenov, A. (2018) Statistical Variability Simulation of Novel Capacitor-less Z2FET DRAM: From Transistor Circuit. In: 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Austin, Texas, USA, 24-26 Sept. 2018, pp. 258-261. ISBN 9781538667903 (doi: 10.1109/SISPAD.2018.8551710)

Georgiev, V. P. , Dochioiu, A.-I., Adamu-Lema, F., Berrada, S., Mirza, M. M. , Paul, D. and Asenov, A. (2018) Variability Study of High Current Junctionless Silicon Nanowire Transistors. In: 12th IEEE Nanotechnology Materials and Devices Conference (NMDC 2017), Singapore, 2-4 Oct 2017, ISBN 9781538627723 (doi: 10.1109/NMDC.2017.8350514)

Adamu-Lema, F., Duan, M. , Navarro, C., Georgiev, V. , Cheng, B., Wang, X., Millar, C., Gamiz, F. and Asenov, A. (2017) Simulation Based DC and Dynamic Behaviour Characterization of Z2FET. In: SISPAD 2017: International Conference on Simulation of Semiconductor Processes and Devices, Kamakura, Japan, 7-9 Sept 2017, pp. 317-320. (doi: 10.23919/SISPAD.2017.8085328)

Al-Ameri, T. , Georgiev, V.P. , Adamu-Lema, F. and Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson-Schrödinger/3D Monte Carlo Simulation Study. In: 2017 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Kamakura, Japan, 7-9 Sept 2017, (doi: 10.23919/SISPAD.2017.8085263)

Duan, M. , Adamu-Lema, F., Cheng, B., Navarro, C., Wang, X., Georgiev, V.P. , Gamiz, F., Millar, C. and Asenov, A. (2017) 2D-TCAD Simulation on Retention Time of Z2FET for DRAM Application. In: SISPAD 2017: International Conference on Simulation of Semiconductor Processes and Devices, Kamakura, Japan, 7-9 Sept 2017, pp. 325-328. (doi: 10.23919/SISPAD.2017.8085330)

Duan, M. et al. (2017) Interaction Between Hot Carrier Aging and PBTI Degradation in nMOSFETs: Characterization, Modelling and Lifetime Prediction. In: 2017 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 2-6 Apr 2017, XT5.1-XT5.7. (doi: 10.1109/IRPS.2017.7936419)

Al-Ameri, T. , Georgiev, V. , Adamu-Lema, F. and Asenov, A. (2016) Influence of Quantum Confinement Effects and Device Electrostatic Driven Performance in Ultra-Scaled SixGe1-x Nanowire Transistors. In: 2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS 2016), Vienna, Austria, 25-27 Jan 2016, pp. 234-237. ISBN 9781467386104 (doi: 10.1109/ULIS.2016.7440096)

Georgiev, V. P. , Amoroso, S. M., Gerrer, L., Adamu-Lema, F. and Asenov, A. (2015) Interplay between quantum mechanical effects and a discrete trap position in ultrascaled FinFETs. In: SISPAD 2015: International Conference on Semiconductor Process and Device Simulations, Washington, DC, USA, 9-11 Sept 2015, pp. 246-249. ISBN 9781467378581 (doi: 10.1109/SISPAD.2015.7292305)

Adamu-Lema, F., Wang, X., Amoroso, S.M., Gerrer, L., Millar, C. and Asenov, A. (2015) Comprehensive 'Atomistic' Simulation of Statistical Variability and Reliability in 14 nm Generation FinFETs. In: 20th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Washington D.C.,USA, 09-11 Sep 2015, pp. 157-160. ISBN 9781467378598

Al-Ameri, T. , Wang, Y., Georgiev, V.P. , Adamu-Lema, F., Wang, X. and Asenov, A. (2015) Correlation between Gate Length, Geometry and Electrostatic Driven Performance in Ultra-Scaled Silicon Nanowire Transistors. In: 10th IEEE Nanotechnology Materials and Devices Conference (NMDC), Anchorage, AK, USA, 13-16 Sep 2015, pp. 30-34. ISBN 9781467393621 (doi: 10.1109/NMDC.2015.7439240)

Adamu-Lema, F., Amoroso, S.M., Wang, X., Cheng, B., Shifren, L., Aitken, R., Sinha, S., Yeric, G. and Asenov, A. (2014) The discrepancy between the uniform and variability aware atomistic TCAD simulations of decananometer bulk MOSFETs and FinFETs. In: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Yokohama, 9-11 Sept. 2014, pp. 285-288. ISBN 9781479952878 (doi: 10.1109/SISPAD.2014.6931619)

Asenov, A. , Cheng, B., Adamu-Lema, F., Shifren, L., Sinha, S., Ridet, C., Alexander, C. L., Brown, A. R., Wang, X. and Amoroso, S. M. (2014) Predictive simulation of future CMOS technologies and their impact on circuits. In: 2014 IEEE 12th International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014), Guilin, China, 28-31 Oct. 2014, pp. 1411-1414.

Asenov, P., Adamu-Lema, F., Roy, S., Millar, C., Asenov, A. , Roy, G., Kovac, U. and Reid, D. (2011) The effect of compact modelling strategy on SNM and Read Current variability in Modern SRAM. In: 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, 8-10 Sep 2011, pp. 283-286. ISBN 9781612844190 (doi: 10.1109/SISPAD.2011.6035024)

This list was generated on Fri Apr 26 22:44:08 2024 BST.