Prof Iain Thayne

  • Honorary Research Fellow (School of Engineering)

email: Iain.Thayne@glasgow.ac.uk

R470 James Watt South Building, Eng -Micro & Nanotechnology, James Watt South Building, Glasgow G12 8LT

Import to contacts

ORCID iDhttps://orcid.org/0000-0002-9197-5393

Publications

List by: Type | Date

Jump to: 2022 | 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004 | 2003 | 2002 | 2001 | 2000 | 1999 | 1997 | 1995
Number of items: 334.

2022

Crawford, K. G. , Grant, J. , Hemakumara, D. T., Li, X. , Thayne, I. and Moran, D. A.J. (2022) High synergy atomic layer etching of AlGaN/GaN with HBr and Ar. Journal of Vacuum Science and Technology A: Vacuum, Surfaces, and Films, 40(4), 042601. (doi: 10.1116/6.0001862)

Menon, H., Morgan, N. P., Hetherington, C., Athle, R., Steer, M., Thayne, I. , Fontcuberta i Morral, A. and Borg, M. (2022) Fabrication of single‐crystalline InSb‐on‐insulator by rapid melt growth. Physica Status Solidi A: Applications and Materials Science, 219(4), 2100467. (doi: 10.1002/pssa.202100467)

Al-Moathin, A., Smith, M. D., Thayne, I. , Kuball, M. and Li, C. (2022) Low Damage High Selectivity Cl2/N2/O2-Based Inductively Coupled Plasma Etching for GaN/AlGaN Heterostructures. UKNC Winter Meeting 2022, 05-06 Jan 2022. (Accepted for Publication)

2021

Laney, S. K., Michalska, M., Li, T., Ramirez, F. V., Portnoi, M., Oh, J., Thayne, I. G. , Parkin, I. P., Tiwari, M. K. and Papakonstantinou, I. (2021) Delayed lubricant depletion of slippery liquid infused porous surfaces using precision nanostructures. Langmuir, 37(33), pp. 10071-10078. (doi: 10.1021/acs.langmuir.1c01310) (PMID:34286995)

Menon, H., Södergren, L., Athle, R., Johansson, J., Steer, M., Thayne, I. and Borg, M. (2021) Improved quality of InSb-on-insulator microstructures by flash annealing into melt. Nanotechnology, 32(16), 165602. (doi: 10.1088/1361-6528/abd656) (PMID:33361572)

2020

Field, D. E., Cuenca, J. A., Smith, M., Fairclough, S. M., Massabuau, F. C.-P., Pomeroy, J. W., Williams, O., Oliver, R. A., Thayne, I. and Kuball, M. (2020) Crystalline interlayers for reducing the effective thermal boundary resistance in GaN-on-diamond. ACS Applied Materials and Interfaces, 12(48), pp. 54138-54145. (doi: 10.1021/acsami.0c10129) (PMID:33196180)

Laney, S. K., Li, T., Michalska, M., Ramirez, F., Portnoi, M., Oh, J., Tiwari, M. K., Thayne, I. G. , Parkin, I. P. and Papakonstantinou, I. (2020) Spacer-defined intrinsic multiple patterning. ACS Nano, 14(9), pp. 12091-12100. (doi: 10.1021/acsnano.0c05497) (PMID:32813489)

Smith, M. D., Li, X. , Uren, M. J., Thayne, I. G. and Kuball, M. (2020) Polarity dependence in Cl2-based plasma etching of GaN, AlGaN and AlN. Applied Surface Science, 521, 146297. (doi: 10.1016/j.apsusc.2020.146297)

Das, P. et al. (2020) Band line-up investigation of atomic layer deposited TiAlO and GaAlO on GaN. ECS Journal of Solid State Science and Technology, 9(6), 063003. (doi: 10.1149/2162-8777/aba4f4)

Smith, M. D. et al. (2020) GaN-on-diamond technology platform: bonding-free membrane manufacturing process. AIP Advances, 10(3), 035306. (doi: 10.1063/1.5129229)

2019

Li, X. , Hemakumara, D., Fu, Y.-C., Moran, D. and Thayne, I. (2019) A Study of In-situ X-ray Photoelectron Spectroscopy Surface Analysis in Development of Atomic Layer Etch for GaN/AlGaN Based Power Device Fabrication. 11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology & Science (ISPlasma2019 / IC-PLANTS2019), Nagoya, Japan, 17-21 March 2019.

Qian, C. et al. (2019) Enhanced strong interaction between nanocavities and p-shell excitons beyond the dipole approximation. Physical Review Letters, 122(8), 087401. (doi: 10.1103/PhysRevLett.122.087401)

Millar, D. A.J., Peralagu, U. , Li, X. , Steer, M. J., Fu, Y.-C., Hurley, P. K. and Thayne, I. G. (2019) Demonstration of genuine surface inversion for the p/n-In0.3Ga0.7Sb-Al2O3 MOS system with in-situ H2 plasma cleaning. Applied Physics Letters, 115, 231602. (doi: 10.1063/1.5122731)

2018

Morozov, D. , Doyle, S. M., Banerjee, A., Brien, T. L.R., Hemakumara, D., Thayne, I. G. , Wood, K. and Hadfield, R. H. (2018) Design and characterisation of titanium nitride sub-arrays of kinetic inductance detectors for passive terahertz imaging. Journal of Low Temperature Physics, 193(3-4), pp. 196-202. (doi: 10.1007/s10909-018-2023-z)

Cho, S.-J., Li, X. , Guiney, I., Floros, K., Hemakumara, D., Wallis, D.J., Humphreys, C. and Thayne, I.G. (2018) Impact of stress in ICP-CVD SiN x passivation films on the leakage current in AlGaN/GaN HEMTs. Electronics Letters, 54(15), pp. 947-949. (doi: 10.1049/el.2018.1097)

Banerjee, A., Heath, R. M. , Morozov, D. , Hemakumara, D., Nasti, U., Thayne, I. and Hadfield, R. H. (2018) Optical properties of refractory metal based thin films. Optical Materials Express, 8(8), pp. 2072-2088. (doi: 10.1364/OME.8.002072)

Li, X. , Reza, M., Steer, M., Gaetano, E.D., Sorel, M. , Thayne, I.G. , Lusk, D. and MacGregor, C. (2018) Etching Process for Producing Various Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications. 62nd International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), Puerto Rico, 29 May - 1 June 2018.

Qian, C. et al. (2018) Two-photon Rabi splitting in a coupled system of a nanocavity and exciton complexes. Physical Review Letters, 120(21), 213901. (doi: 10.1103/PhysRevLett.120.213901) (PMID:29883144)

Amano, H. et al. (2018) The 2018 GaN power electronics roadmap. Journal of Physics D: Applied Physics, 51(16), 163001. (doi: 10.1088/1361-6463/aaaf9d)

Li, X. , Zhou, H., Hemakumara, D., Cho, S.-J., Floros, K., Moran, D. and Thayne, I. (2018) A Study of In-Situ Auger Spectroscopic Surface Analysis in Development of Atomic Layer Etch for GaN/AlGaN Based Power Device Fabrication. 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma-Nano Technology & Science (ISPlasma2018 / IC-PLANTS2018), Nagoya, Japan, 4-8 March 2018.

Li, X. , Cho, S.-J., Floros, K., Hemakumara, D., Zhou, H., Guiney, I., Moran, D. , Humphreys, C. and Thayne, I.G. (2018) In-situ Auger Spectroscopy Analysis of an Atomic Layer Etching Process for GaN/AlGaN-based Power Device Fabrication. UKNC Winter Conference 2018, Manchester, UK, 10-11 Jan 2018.

Li, X. , Zhou, H., Flores, K., Cho, S.-J., Hemakumara, D., Moran, D. and Thayne, I. (2018) Optimization of Atomic Layer Etch Process for Fabrication of Dual Barrier GaN-Based Power Device Using In-Situ Auger Spectrometric Surface Analysis. AVS 18th International Conference on Atomic Layer Deposition (ALD 2018) and the 5th International Atomic Layer Etching Workshop (ALE 2018), Incheon, South Korea, 29 Jul-1 Aug 2018.

Millar, D.A.J., Li, X. , Peralagu, U. , Steer, M.J., Pavey, I.M., Gaspar, G., Schmidt, M., Hurley, P.K. and Thayne, I.G. (2018) High Aspect Ratio Junctionless InGaAs FinFETs Fabricated Using a Top-Down Approach. 2018 76th Device Research Conference (DRC), Santa Barbara, CA, USA, 24-27 Jun 2018. ISBN 9781538630280 (doi: 10.1109/DRC.2018.8442150)

2017

Xie, C., Aziz, M., Pusino, V. , Khalid, A. , Steer, M., Thayne, I. G. , Sorel, M. and Cumming, D. R.S. (2017) Single-chip, mid-Infrared array for room temperature video rate imaging. Optica, 4(12), pp. 1498-1502. (doi: 10.1364/OPTICA.4.001498)

Fu, Y.-C., Li, X. , Peralagu, U. , Millar, D., Steer, M., Zhou, H., Droopad, R. and Thayne, I.G. (2017) The Impact of In-situ Hydrogen Plasma Passivation Prior to ALD HfO2 Deposition on the Electrical Properties of ICP Etched P-type InGaAs (110) MOSCAPs. 48th IEEE Semiconductor Interface Specialists Conference (SISC 2017), San Diego, CA, USA, 6-9 Dec 2017.

Millar, D. et al. (2017) Electrical and Chemical Analysis of the In-situ H2 Plasma Cleaned InGaSb-Al2O3 Interface. 48th IEEE Semiconductor Interface Specialists Conference (SISC 2017), San Diego, CA, USA, 6 -9 Dec 2017.

Hurley, P.K., Monaghan, S., O'Connor, E., Caruso, E., Cherkaoui, K., Floyd, L., Povey, I. M., Millar, D. A. J., Peralagu, U. and Thayne, I. G. (2017) (Invited) The Inversion Behaviour of Narrow Band Gap Mos Systems: Experimental Observations, Physics Based Simulations and Applications. 232nd ECS Meeting, National Harbor, MD, USA, 01-05 Oct 2017.

Li, X. , Fu, Y.-C. and Thayne, I.G. (2017) A Process Development on Inductively Coupled Plasma Reactive Ion Etching in Cl2/BCl3 Chemistry for Fabricating GaN-based Vertical Nanowires. 43rd International Conference on Micro and Nanoengineering (MNE 2017), Braga, Portugal, 18-22 Sept 2017.

Aziz, M., Xie, C., Pusino, V. , Khalid, A. , Steer, M., Thayne, I. G. and Cumming, D. R.S. (2017) Multispectral mid-infrared light emitting diodes on a GaAs substrate. Applied Physics Letters, 111(10), 102102. (doi: 10.1063/1.4986396)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Hemakumara, D., Wallis, D. J., Wasige, E. , Moran, D. A.J. , Humphreys, C. J. and Thayne, I. G. (2017) Dual barrier InAlN/AlGaN/GaN-on-silicon high-electron-mobility transistors with Pt and Ni based gate stacks. Physica Status Solidi A: Applications and Materials Science, 214(8), 1600835. (doi: 10.1002/pssa.201600835)

Hemakumara, D., Li, X. , Floros, K., Cho, S., Guiney, I., Moran, D. , Humphreys, C., O'Mahony, A., Knoops, H. and Thayne, I. G. (2017) 4x Reduction in Gan MOSCAP Flatband Voltage Hysteresis with an In-situ Deposited Sin Cap and Device Processing in a Cluster Tool. 12th International Conference on Nitride Semiconductors, Strasbourg, France, 24-28 July 2017.

Hemakumara, D., Li, X. , Cho, S., Floros, K., Guiney, I., Moran, D. , Humphreys, C., O'Mahony, A., Knoops, H. and Thayne, I.G. (2017) The Impact on GaN MOS Capacitor Performance of In‐situ Processing in a Clustered ALD/ICP/RIE Tool. AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Etching Workshop (ALE 2017), Denver, CO, USA, 15-18 Jul 2017.

Li, X. et al. (2017) Atomic layer etch processes developed in an ICP/RIE etching system for etching III-V compound semiconductor materials. AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Etching Workshop (ALE 2017), Denver, CO, USA, 15-18 Jul 2017.

Millar, D., Peralagu, U. , Li, X. , Fu, Y.-C., Gaspar, G., Hurley, P. and Thayne, I. (2017) Improving the electrical properties of the In0.3Ga0.7Sb-Al2O3 interface via in-situ H2 plasma and TMA exposure. 20th Conference on Insulating Films on Semiconductors (INFOS 2017), Potsdam, Germany, 27-30 Jun 2017.

Fu, Y.-C., Peralagu, U. , Millar, D. A.J., Lin, J., Povey, I., Li, X. , Monaghan, S., Droopad, R., Hurley, P. K. and Thayne, I. G. (2017) The impact of forming gas annealing on the electrical characteristics of sulfur passivated Al2O3/In0.53Ga0.47As (110) metal-oxide-semiconductor capacitors. Applied Physics Letters, 110(14), 142905. (doi: 10.1063/1.4980012)

Thayne, I. , Li, X. , Millar, D., Fu, Y.-C. and Peralagu, U. (2017) Plasma Processing of III-V Materials for Energy Efficient Electronics Applications. In: Advanced Etch Technology for Nanopatterning VI, San Jose, CA, USA, 27 Feb - 01 Mar 2017, 101490R. (doi: 10.1117/12.2257863)

Li, X. , Floros, K., Cho, S.-J., Hemakumara, D., Moran, D. and Thayne, I. (2017) Damage to Algan/Gan Power Device Materials from Cl2 and Ar Plasma Based Atomic Layer Etching and its Elimilation via a Low Temperature Rapid Thermal Annealing. 9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma-Nano Technology & Science (ISPlasma2017 / IC-PLANTS2017), Aichi, Japan, 1-5 March 2017.

Benakaprasad, B., Eblabla, A., Li, X. , Thayne, I. , Wallis, D.J., Guiney, I., Humphreys, C. and Elgaid, K. (2017) Terahertz Microstrip Elevated Stack Antenna Technology on GaN-on-Low Resistivity Silicon Substrates for TMIC. In: 46th European Microwave Conference, London, 3-7 Oct 2016, (doi: 10.1109/EuMC.2016.7824367)

2016

Li, X. , Fu, Y.-C., Millar, D.A.J., Peralagu, U. , Steer, M. and Thayne, I.G. (2016) The Impact of an HBr/Ar Atomic Layer Etch (ALE) Process for InGaAs Vertical Nanowire Diameter Reduction on the Interface Between InGaAs and In-situ ALD Deposited HfO2. 47th IEEE Semiconductor Interface Specialists Conference (SISC 2016), San Diego, CA, USA, 8-10 Dec 2016.

Hurley, P. K. et al. (2016) Impedance Spectroscopy of Narrow Band Gap III-V MOS Systems. SINANO-III-V-MOS-Compose3 Workshop, Lausanne, Switzerland, 12 Sep 2016.

Pusino, V. , Xie, C., Khalid, A. , Steer, M. J., Sorel, M. , Thayne, I. G. and Cumming, D. R.S. (2016) InSb photodiodes for monolithic active focal plane arrays on GaAs substrates. IEEE Transactions on Electron Devices, 63(8), pp. 3135-3142. (doi: 10.1109/TED.2016.2578982)

Cho, S.-J., Li, X. , Floros, K., Hamakumara, D., Ignatova, O. , Moran, D. , Humphreys, C.J. and Thayne, I. (2016) Low off-state Leakage Currents in AlGaN/GaN High Electron Mobility Transistors By Employing A Highly Stressed SiNx Surface Passivation Layer. 19th Workshop on Dielectrics in Microelectronics (WoDIM), Aci Castello, Catania, Italy, 27-30 Jun 2016.

Millar, D., Peralagu, U. , Fu, Y.-C., Li, X. , Steer, M. and Thayne, I. (2016) Initial Investigation on the Impact of In Situ Hydrogen Plasma Exposure to the Interface Between Molecular Beam Epitaxially Grown P-Ga0.7In0.3Sb (100) and Thermal Atomic Layer Deposited (ALD) Al2O3. In: 19th Workshop on Dielectrics in Microelectronics (WoDIM), Aci Castello, Catania, Italy, 27-30 Jun 2016,

Oxland, R. et al. (2016) InAs FinFETs with Hfin = 20 nm fabricated using a top-down etch process. IEEE Electron Device Letters, 37(3), pp. 261-264. (doi: 10.1109/LED.2016.2521001)

Pusino, V. , Xie, C., Khalid, A.-u.-H. , Thayne, I. G. and Cumming, D. R.S. (2016) Development of InSb dry etch for mid-IR applications. Microelectronic Engineering, 153, pp. 11-14. (doi: 10.1016/j.mee.2015.12.014)

Roberts, J.W., Chalker, P.R., Lee, K.B., Houston, P.A., Cho, S.-J., Thayne, I.G. , Guiney, I., Wallis, D. and Humphreys, C.J. (2016) Control of threshold voltage in E-mode and D-mode GaN-on-Si metal-insulator-semiconductor heterostructure field effect transistors by in-situ fluorine doping of atomic layer deposition Al2O3 gate dielectrics. Applied Physics Letters, 108(7), 072901. (doi: 10.1063/1.4942093)

Li, X. , Floros, K., Cho, S.-J., Hemakumara, D., Guiney, I., Moran, D. and Thayne, I. G. (2016) An Hbr/Ar Atomic Layer Etch Process for Precision Gate Recess Etching of Gan-Based Transistors. UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016.

Benakaprasad, B., Eblabla, A., Li, X. , Thayne, I. , Wallis, D.J., Guiney, I., Humphreys, C. and Elgaid, K. (2016) Terahertz Microstrip Single Patch Antenna Technology on GaN-on-Low Resistivity Silicon Substrates for TMIC. In: IET Colloquium on Antennas, Wireless and Electromagnetics, Glasgow, UK, 26 May 2016, (Unpublished)

Benakaprasad, B., Eblabla, A., Li, X. , Thayne, I. , Wallis, D., Guiney, I., Humphreys, C. and Elgaid, K. (2016) Terahertz Monolithic Integrated Circuits (TMICs) Array Antenna Technology On GaN-on-Low Resistivity Silicon Substrates. In: 41st International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz 2016), Copenhagen, Denmark, 25-30 Sept 2016, (doi: 10.1109/IRMMW-THz.2016.7758488)

Ding, Y., Meriggi, L. , Steer, M., Fan, W., Bulashevich, K., Thayne, I. , Macgregor, C., Ironside, C. and Sorel, M. (2016) Design, simulations, and optimizations of mid-infrared multiple quantum well LEDs. Procedia Engineering, 140, pp. 36-42. (doi: 10.1016/j.proeng.2015.10.153)

Eblabla, A., Li, X. , Thayne, I. , Wallis, D.J., Guiney, I. and Elgaid, K. (2016) MMIC-Compatible Microstrip Technology for GaN-HEMTs on Low Resistivity Silicon Substrate. In: International Workshop on Nitride Semiconductors (IWN 2016), Orlando, FL, USA, 2-7 Oct 2016, (Unpublished)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Ternent, G., Hemakumara, D., Wasige, E. , Moran, D.A.J. , Humphries, C.J. and Thayne, I.G. (2016) A Dual Barrier InAlN/AlGaN/GaN HEMT on Si Substrate with Pt Based Gates. In: 9th International Workshop on Nitride Semiconductors (IWN 2016), Orlando, FL, USA, 2-7 Oct 2016, (Unpublished)

Floros, K. et al. (2016) Electrical Characterisation of InAlN/AlGaN/GaN HEMT on Si Substrate with Varying InAlN Thickness. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Cho, S.-J., Guiney, I., Moran, D. and Thayne, I. G. (2016) Development of an Atomic Layer Etch Process Via Repeated Cycling of Chloride Formation in Chlorine Gas and its Argon Plasma Removal for Precision Nanometer Scale Thin Layer Etch in GaN-Based Power Device Fabrications. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Cho, S.-J., Guiney, I., Moran, D. and Thayne, I. G. (2016) An Atomic Layer Etch Process Based on a Cycled Procedure of Chlorination in Cl2 and Argon Plasma Removal of Chlorides for GaN Based Device Fabrication. In: 8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science (ISPlasma2016 / IC-PLANTS2016), Nagoya, Japan, 6-10 March 2016,

Li, X. , Fu, Y.-C., Floros, K., Cho, S.-J., Hemakumara, D., Guiney, I., Moran, D. and Thayne, I. G. (2016) Realisation of Etching Indium-Included Materials in Atomic Layer Etch Process Via Repeated Cycling of Chloride Formation and its Plasma Removal for GaN-Based Power Device Fabrications. 42nd International Conference on Micro and Nano Engineering (MNE 2016), Vienna, Austria, 19-23 Sept 2016. (Unpublished)

Li, X. , Fu, Y.-C., Floros, K., Cho, S.-J., Hemakumara, D., Guiney, I., Moran, D. and Thayne, I. G. (2016) Realisation of Etching Indium-Included Materials in Atomic Layer Etch Process Via Repeated Cycling of Chloride Formation and its Plasma Removal for GaN-Based Power Device Fabrications. In: UK Semiconductor Conference, Sheffield, UK, 6-7 July 2016, (Unpublished)

2015

Fu, Y.-C., Peralagu, U. , Li, X. , Ignatova, O. , Millar, D. A. J., Steer, M., Droopad, R. and Thayne, I. (2015) First Demonstration of Cluster Tool Based ICP Etching of (100) and (110) InGaAs MOSCAPs Followed by In-Situ ALD Deposition of HfO2 Including Nitrogen and Hydrogen Plasma Passivation for Non-Planar III-V MOSFETs. In: 46th IEEE Semiconductor Interface Specialists Conference (SISC), Arlington, VA, USA, 02-05 Dec 2015,

Xie, C., Pusino, V. , Khalid, A.-u.-H. , Steer, M., Sorel, M. , Thayne, I. and Cumming, D. (2015) Monolithic integration of an active InSb-based mid-infrared photo-pixel with a GaAs MESFET. IEEE Transactions on Electron Devices, 62(12), pp. 4069-4075. (doi: 10.1109/TED.2015.2492823)

Cho, S.J., Roberts, J., Guiney, I., Li, X. , Ternent, G., Floros, K., Humphreys, C.J., Chalker, P. and Thayne, I.G. (2015) A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor. Microelectronic Engineering, 147, pp. 277-280. (doi: 10.1016/j.mee.2015.04.067)

Peralagu, U. et al. (2015) (Invited) towards a vertical and damage free post-etch InGaAs fin profile: dry etch processing, sidewall damage assessment and mitigation options. ECS Transactions, 69(5), pp. 15-36. (doi: 10.1149/06905.0015ecst)

Eblabla, A., Li, X. , Thayne, I. , Wallis, D. J., Guiney, I. and Elgaid, K. (2015) High performance GaN high electron mobility transistors on low resistivity silicon for X-Band applications. IEEE Electron Device Letters, 36(9), pp. 899-901. (doi: 10.1109/LED.2015.2460120)

Wang, S.-W. et al. (2015) Field-effect mobility of InAs surface channel nMOSFET with low Dit scaled gate-stack. IEEE Transactions on Electron Devices, 62(8), pp. 2429-2436. (doi: 10.1109/TED.2015.2445854)

Cao, M., Li, X. , Missous, M. and Thayne, I. (2015) Nanoscale molybdenum gates fabricated by low damage inductively coupled plasma SF6/C4F8 etching suitable for high performance compound semiconductor transistors. Microelectronic Engineering, 140, pp. 56-59. (doi: 10.1016/j.mee.2015.06.003)

Meriggi, L., Steer, M. J., Ding, Y., Thayne, I. G. , MacGregor, C., Ironside, C. N. and Sorel, M. (2015) Enhanced emission from mid-infrared AlInSb light-emitting diodes with p-type contact grid geometry. Journal of Applied Physics, 117(6), 063101. (doi: 10.1063/1.4905081)

Ding, Y., Meriggi, L., Steer, M., Fan, W., Bulashevich, K., Thayne, I. , MacGregor, C. and Sorel, M. (2015) Design, Simulations, and Optimizations of Mid-Infrared Multiple Quantum Well Leds. In: ICMAT2015 & IUMRS-ICA2015, Suntec, Singapore, 28 Jun - 3 Jul 2015,

Eblabla, A., Li, X. , Thayne, I. , Wallis, D. J., Guiney, I. and Elgaid, K. (2015) Effect Of AlN Spacer In The Layer Structure On High Rf Performance GaN-Based HEMTs On Low Resistivity Silicon At K-Band Application. In: 11th International Conference on Nitride Semiconductors (ICNS-11), Beijing, China, 30 Aug - 4 Sept 2015, (Unpublished)

Fu, Y.-C., Peralagu, U. , Ignatova, O. , Li, X. , Droopad, R., Thayne, I. , Lin, J., Povey, I., Monaghan, S. and Hurley, P. (2015) Energy-Band Structure of Atomic Layer Deposited Al2O3 & Sulphur Passivated Molecular Beam Epitaxially Grown (110) In0.53Ga0.47As Surfaces. In: 11th Conference on PhD Research in Microelectronics and Electronics (IEEE PRIME 2015), Glasgow, UK, 29 June - 2 July 2015,

Fu, Y.-C., Peralagu, U. , Ignatova, O. , Li, X. , Lin, J., Povey, I., Monaghan, S., Droopad, R., Hurley, P. and Thayne, I. (2015) Energy-band parameter of atomic layer deposited Al2O3 & sulphur passivated molecular beam epitaxially grown (110) In0.53Ga0.47As surfaces. In: 11th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), Glasgow, UK, 29 Jun - 02 Jul 2015, pp. 346-348. (doi: 10.1109/PRIME.2015.7251406)

Li, X. , Floros, K., Hemakumara, D., Moran, D. and Thayne, I. G. (2015) Realisation of Low Annealing Temperature and Low Resistance Ohmic Contacts forAlGaN/GaN-Based Power Devices Via SiH4 Inductively Coupled Plasma Treatment. In: UK Semiconductor Conference, Sheffield, UK, 1-2 July 2015,

Li, X. , Floros, K., Ternent, G., Al-Khalidi, A. , Wasige, E. and Thayne, I. G. (2015) Effect of SiH4 Inductively Coupled Plasma Surface Treatment On Low Temperature and Low Resistance Ohmic Contact for AlGaN/GaN-Based Power Device. In: 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma-Nano Technology & Science (ISPlasma2015 / IC-PLANTS2015), Nagoya, Japan, 26-31 March 2015,

Meriggi, L., Steer, M., Ding, Y., Samarelli, A., Thayne, I. , MacGregor, C., Ironside, C. and Sorel, M. (2015) Enhanced Performance of Plasmon-Assisted Resonant-Cavity Mid-IR AlxIn1−xSb LEDs. In: CLEO/Europe - EQEC 2015, Munich, Germany, 21-25 Jun 2015, p. 809.

Meriggi, L. , Steer, M. J., Ding, Y., Thayne, I. G. , MacGregor, C., Ironside, C. N. and Sorel, M. (2015) Development of Mid-Infrared Light-Emitting Diodes for Low-Power Optical Gas Sensors. In: 11th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), Glasgow, Scotland, 29 Jun - 02 Jul 2015, pp. 180-183. ISBN 9781479982295 (doi: 10.1109/PRIME.2015.7251364)

2014

Peralagu, U. , Li, X. , Ignatova, O. , Steer, M., Povey, I., Hurley, P. and Thayne, I. (2014) Demonstration of III-V fins with vertical sidewalls using Cl2/CH4/H2/O2 dry etch chemistry in conjunction with digital etching for recovery of etch damage. In: 45th IEEE Semiconductor Interface Specialists Conference (SISC), San Diego, CA, USA, 10 - 13 Dec 2014,

Peralagu, U. , Povey, I. M., Carolan, P., Lin, J., Contreras-Guerrero, R., Droopad, R., Hurley, P. K. and Thayne, I. G. (2014) Electrical and physical characterization of the Al2O3/ p-GaSb interface for 1%, 5%, 10%, and 22% (NH4)2S surface treatments. Applied Physics Letters, 105(16), 162907. (doi: 10.1063/1.4899123)

Brown, R., Macfarlane, D., Al-Khalidi, A. , Li, X. , Ternent, G., Zhou, H., Thayne, I. and Wasige, E. (2014) A sub-critical barrier thickness normally-off AlGaN/GaN MOS-HEMT. IEEE Electron Device Letters, 35(9), pp. 906-908. (doi: 10.1109/LED.2014.2334394)

Cao, M., Li, X. , Ferguson, S., Thoms, S. , Macintyre, D. and Thayne, I. (2014) A simple silicon compatible 40nm electroplated copper T-gate process. Microelectronic Engineering, 121, pp. 153-155. (doi: 10.1016/j.mee.2014.05.007)

Fu, Y.-C., Peralagu, U. , Lin, J., Povey, I., Li, X. , Ignatova, O. , Monaghan, S., Droopad, R., Hurley, P. and Thayne, I. (2014) The impact of forming gas annealing on the properties of interfaces between atomic layer deposited Al2O3 and sulphur passivated molecular beam epitaxially grown (110) p- and n-type In0.53Ga0.47As surfaces. In: 18th Workshop on Dielectrics in Microelectronics (WoDIM), Kinsale, Co Cork, Ireland, 9-11 Jun 2014,

Brown, R., Al-Khalidi, A. , Macfarlane, D., Taking, S., Ternent, G., Thayne, I. and Wasige, E. (2014) Novel high performance AlGaN/GaN based enhancement-mode metal-oxide semiconductor high electron mobility transistor. Physica Status Solidi C, 11(3-4), pp. 844-847. (doi: 10.1002/pssc.201300179)

Khalid, A. et al. (2014) Terahertz oscillations in an In0.53Ga0.47As submicron planar gunn diode. Journal of Applied Physics, 115(11), p. 114502. (doi: 10.1063/1.4868705)

Cao, M., Li, X. and Thayne, I. (2014) An Anisotropic Low Power, Low DC Bias, SF6/C4F8 Inductively Coupled Plasma Etch Process of Molybdenum with Critical Dimension of 30 nm Suitable for Compound Semiconductor Devices. In: 58th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN 2014), Washington, DC, USA, 27-30 May 2014,

Cao, M., Li, X. and Thayne, I. (2014) A low damage inductively coupled plasma etch process of molybdenum with critical dimension of 30 nm suitable for compound semiconductor devices. In: UK Semiconductors 2014, Sheffield, UK, 9-10 July 2014,

Cho, S.-J., Roberts, J.W., Li, X. , Ternent, G., Floros, K., Thayne, I. , Chalker, P. and Wasige, E. (2014) Effect of O2 plasma pre-treatment in Al2O3 passivation using atomic-layer-deposited on GaN based metal-oxide-semiconductor capacitor. In: UK Semiconductors 2014, Sheffield, UK, 9-10 Jul 2014, p. 184.

Ding, Y., Meriggi, L., Steer, M. J., Bulashevich, K., Thayne, I. G. , MacGregor, C., Sorel, M. and Ironside, C. (2014) An investigation of MWIR AlInSb LEDs based on double heterostructures and multiple quantum wells. In: 2014 Conference on Optoelectronic and Microelectronic Materials and Devices (COMMAD2014), Perth, Australia, 14-17 Dec 2014, pp. 124-126. ISBN 9781479968671 (doi: 10.1109/COMMAD.2014.7038668)

Ding, Y., Meriggi, L., Steer, M. J., Thayne, I. G. , MacGregor, C., Sorel, M. and Ironside, C. N. (2014) Investigation of mid-infrared AlInSb LEDs with an n-i-p structure. In: 2014 Conference on Optoelectronic and Microelectronic Materials and Devices (COMMAD2014), Perth, Western Australia, 14-17 Dec 2014,

Khalid, A. , Thoms, S. , Macintyre, D., Thayne, I.G. and Cumming, D.R.S. (2014) Fabrication of submicron planar Gunn diode. In: 26th International Conference on Indium Phosphide and Related Materials, Montpelier, France, 11-15 May 2014, (doi: 10.1109/ICIPRM.2014.6880542)

Li, X. , Ternent, G., Al-Khalidi, A. , Floros, K., Wasige, E. and Thayne, I. G. (2014) Low Temperature Ohmic Contacts to AlGaN/GaN HFETs on Si Substrates Using SiCl4 Based RIE Recess Etching. In: UK Semiconductors 2014, Sheffield, UK, 9-10 Jul 2014, p. 176.

Li, X. , Ternent, G., Al-Khalidi, A. , Floros, K., Wasige, E. and Thayne, I. (2014) Low temperature Ohmic contacts to AlGaN/GaN HFETs on Si substrates using SiCl4based RIE recess etching. In: UK Semiconductors 2014, Sheffield, UK, 9-10 July 2014,

2013

Li, X. , Ignatova, O. , Cao, M., Peralagu, U. , Steer, M., Mirza, M. , Zhou, H. and Thayne, I. (2013) 10 nm vertical In0.53Ga0.47As line etching process for III-V MOSFET fabrication by using inductively coupled plasma (ICP) etcher in Cl2/CH4/H2 chemistry. In: 26th International Microprocesses and Nanotechnology Conference (MNC), Royton Sapporo, Hokkaido, Japan, 5-8 Nov 2013,

Khalid, A. et al. (2013) In0.53Ga0.47As planar Gunn diodes operating at a fundamental frequency of 164 GHz. IEEE Electron Device Letters, 34(1), pp. 39-41. (doi: 10.1109/LED.2012.2224841)

Brown, R., Al-Khalidi, A. , Macfarlane, D., Taking, S., Ternent, G., Thayne, I. and Wasige, E. (2013) A normally-off AlGaN/GaN HEMT technology. In: UK Nitrides Consortium, Sheffield, UK, Jul 2013,

Brown, R., Al-Khalidi, A. , Ternent, G., Thayne, I. and Wasige, E. (2013) A normally off AlGaN/GaN MOSHEMT technology. In: 22nd European Workshop on Heterostructure Technology (HETECH), Glasgow, UK, 9-11 Sep 2013,

Cao, M., Li, X. , Ferguson, S., Thoms, S. , Macintyre, D. and Thayne, I. (2013) A simple silicon compatible 40 nm electroplated Copper T‐gate process. In: MNE2013: 39th International Conference on Micro and Nano Engineering, London, UK, 16-19 Sept. 2013,

Chang, S.W. et al. (2013) InAs N-MOSFETs with record performance of Ion = 600 μA/μm at Ioff = 100 nA/μm (Vd = 0.5 V). In: IEEE International Electronic Devices Meeting (IEDM2013), Washington, D.C., 9-11 Dec 2013, 16.1.1-16.1.4. (doi: 10.1109/IEDM.2013.6724639)

Ignatova, O. et al. (2013) Towards vertical sidewalls in III-V FinFETs: dry etch processing and its associated damage on the electrical and physical properties of (100)-oriented InGaAs. In: 44th IEEE Semiconductor Interface Specialists Conference (SISC), Arlington, VA, USA, 5-7 Dec 2013, pp. 167-168.

Peralagu, U. , Ignatova, O. , Li, X. , Steer, M., Povey, I.M., Hurley, P.K. and Thayne, I.G. (2013) Optimisation of sidewalls in III-V FinFETs. In: UK Semiconductors 2013, Sheffield, UK, 3 - 4 Jul 2013,

Peralagu, U. , Povey, I.M., Hurley, P.K., Droopad, R. and Thayne, I.G. (2013) An investigation of (NH4)2S passivation on the electrical, and interfacial properties of the Al2O3/GaSb system for p-type and n-type GaSb layers. In: European Materials Research Society (EMRS 2013) Spring Meeting, Strasbourg, France, 27 - 31 May 2013,

2012

Paterson, G.W. , Holland, M.C., Thayne, I.G. and Long, A.R. (2012) Modeling and analysis of the admittance characteristics of n+ metal-oxide-semiconductor capacitors with oxide and interface states - Gd0.25Ga0.15O0.6/Ga2O3 on In0.53Ga0.47As. Journal of Applied Physics, 111(7), 074109. (doi: 10.1063/1.3702468)

Oxland, R. et al. (2012) An ultralow-resistance ultrashallow metallic source/drain contact scheme for III-V NMOS. IEEE Electron Device Letters, 33(4), pp. 501-503. (doi: 10.1109/LED.2012.2185919)

Abuelma'atti, A., Thayne, I. and Abuelma'atti, M.T. (2012) CMOS models transistor distortion. Microwaves and RF, 51(8), pp. 80-86.

Li, X. , Zhou, H., Hill, R.J.W., Holland, M. and Thayne, I. (2012) A low damage etching process of sub-100 nm platinum gate line for III-V metal-oxide-semiconductor field-effect transistor fabrication and the optical emission spectrometry of the inductively coupled plasma of SF6/C4F8. Japanese Journal of Applied Physics, 51(1), (doi: 10.1143/JJAP.51.01AB01)

Melitz, W., Kent, T., Kummel, A.C., Droopad, R., Holland, M. and Thayne, I. (2012) Atomic imaging of atomic layer deposition oxide nucleation with trimethylaluminum on As-rich InGaAs(001) 2 × 4 vs Ga∕In-rich InGaAs(001) 4 × 2. Journal of Chemical Physics, 136(15), p. 154706. (doi: 10.1063/1.4704126)

Paterson, G.W. , Bentley, S.J., Holland, M.C., Thayne, I.G. , Ahn, J., Long, R.D., McIntyre, P.C. and Long, A.R. (2012) Admittance and subthreshold characteristics of atomic-layer-deposition Al2O3 on In0.53Ga0.47As in surface and buried channel flatband metal-oxide-semiconductor field effect transistors. Journal of Applied Physics, 111(10), p. 104112. (doi: 10.1063/1.4720940)

2011

Li, C., Khalid, A. , Caldwell, S.H.P., Holland, M., Dunn, G.M., Thayne, I.G. and Cumming, D.R.S. (2011) Design, fabrication and characterization of In0.23Ga0.77As-channel planar Gunn diodes for millimeter wave applications. Solid-State Electronics, 64(1), pp. 67-72. (doi: 10.1016/j.sse.2011.07.008)

Paterson, G.W. , Bentley, S.J., Holland, M.C., Thayne, I.G. and Long, A.R. (2011) Electrical characteristics of gadolinium gallium oxide/gallium oxide insulators on GaAs and In0.53Ga0.47As in metal-oxide-semiconductor field effect transistors - admittance and subthreshold characteristics. Journal of Applied Physics, 110(5), 054103. (doi: 10.1063/1.3631076)

Li, C., Lok, L.B., Khalid, A. , Thayne, I.G. and Cumming, D.R.S. (2011) Investigation of loading effect on power performance for Planar Gunn diodes using load-pull measurement technique. IEEE Microwave and Wireless Components Letters, 21(10), pp. 556-558. (doi: 10.1109/LMWC.2011.2163496)

Thayne, I. , Bentley, S., Holland, M., Jansen, W., Li, X. , Macintyre, D., Thoms, S. , Shin, B., Ahn, J. and McIntyre, P. (2011) III–V nMOSFETs – some issues associated with roadmap worthiness (invited). Microelectronic Engineering, 88(7), pp. 1070-1075. (doi: 10.1016/j.mee.2011.03.100)

Bentley, S. et al. (2011) Electron mobility in surface- and buried- channel flatband In0.53Ga0.47As MOSFETs with ALD Al2O3 gate dielectric. IEEE Electron Device Letters, 32(4), pp. 494-496. (doi: 10.1109/LED.2011.2107876)

Ahn, J., Geppert, I., Gunji, M., Holland, M., Thayne, I. , Eizenberg, M. and McIntyre, P.C. (2011) Titania/alumina bilayer gate insulators for InGaAs metal-oxide-semiconductor devices. Applied Physics Letters, 99(23), p. 232902. (doi: 10.1063/1.3662966)

Li, X. , Zhou, H., Hill, R. J.W., Holland, M. and Thayne, I. G. (2011) A low damage etching process of sub-100 nm platinum gate line for III-V MOSFET fabrication and the optical emission spectrometry of the inductively coupled plasma of SF6/C4F8. In: ISPlasma 2011: 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya, Japan, 6-9 March 2011,

Paterson, G.W. , Holland, M.C., Bentley, S.J., Thayne, I.G. and Long, A.R. (2011) Gadolinium gallium oxide/gallium oxide insulators on GaAs and In0.53Ga0.47As n+ MOS capacitors: The interface state model and beyond. Journal of Applied Physics, 109(12), p. 124112. (doi: 10.1063/1.3599895)

Paterson, G.W. , Holland, M.C., Thayne, I.G. and Long, A.R. (2011) Broadening of metal-oxide-semiconductor admittance characteristics: Measurement, sources, and its effects on interface state density analyses. Journal of Applied Physics, 110(11), p. 114115. (doi: 10.1063/1.3665720)

2010

Oxland, R. K., Li, X. , Ferguson, S., Bentley, S. and Thayne, I. G. (2010) Copper-plated 50 nm T-gate fabrication. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6P7. (doi: 10.1116/1.3501346)

Hwang, C.J., Lok, L.B., Thayne, I.G. and Elgaid, K. (2010) W-band microstrip band-pass filter using branch-line coupler with open stubs. Microwave and Optical Technology Letters, 52(6), pp. 1436-1439. (doi: 10.1002/mop.25185)

Oxland, R.K., Li, X. , Ferguson, S., Bentley, S. and Thayne, I. (2010) Copper–plated 50 nm T–gate fabrication. In: 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN 2010), Anchorage, AK, USA, 1-4 Jun 2010, pp. 15-24.

Benbakhti, B., Ayubi-Moak, J.S., Kalna, K., Lin, D., Hellings, G., Brammertz, G., De Meyer, K., Thayne, I.G. and Asenov, A. (2010) Impact of interface state trap density on the performance characteristics of different III-V MOSFET architectures. Microelectronics Reliability, 50(3), pp. 360-364. (doi: 10.1016/j.microrel.2009.11.017)

Taking, S. et al. (2010) Surface passivation of AlN/GaN MOS-HEMTs using ultra-thin Al2O3 formed by thermal oxidation of evaporated aluminium. Electronics Letters, 46(4), pp. 301-302. (doi: 10.1049/el.2010.2781)

Hwang, C.-J., Lok, L.B., Chong, H.M.H., Holland, M., Thayne, I.G. and Elgaid, K. (2010) An ultra-low-power MMIC amplifier using 50nm delta In0.52Al0.48As/In0.53Ga0.47As metamorphic HEMT. IEEE Electron Device Letters, 31(11), pp. 1230-1232. (doi: 10.1109/LED.2010.2070484)

Ignatova, O., Thoms, S. , Jansen, W., Macintyre, D.S. and Thayne, I.G. (2010) Lithography scaling issues associated with III-V MOSFETs. Microelectronic Engineering, 87(5-8), pp. 1049-1051. (doi: 10.1016/j.mee.2009.11.093)

Li, X. , Bentley, S., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2010) A low damage fully self-aligned gate-last process for fabricating sub-100 nm gate length enhancement mode GaAs MOSFETs. In: 54th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication, Anchorage, USA, June 2010,

Li, X. , Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6L1. (doi: 10.1116/1.3501355)

Li, X., Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), (doi: 10.1116/1.3501355)

Li, X. , Zhou, H., Hill, R.J.W., Longo, P., Holland, M. and Thayne, I.G. (2010) Dry etching device quality high-kappa GaxGdyOz gate oxide in SiCl4 chemistry for low resistance ohmic contact realisation in fabricating III-V MOSFETs. Microelectronic Engineering, 87(5-8), pp. 1587-1589. (doi: 10.1016/j.mee.2009.11.011)

Longo, P., Holland, M.C., Paterson, G.W. , Craven, A.J. and Thayne, I.G. (2010) An EELS sub-nanometer investigation of the dielectric gate stack for the realization of InGaAs based MOSFET devices. Journal of Physics: Conference Series, 241, 012034. (doi: 10.1088/1742-6596/241/1/012034)

Longo, P., Jansen, W., Merckling, C., Penaud, J., Caymax, M., Thayne, I. and Craven, A. (2010) A TEM Nanoanalytical Investigation of Pd/Ge Ohmic Contacts for the Miniaturization and Optimization of n-InGaAs MOSFET Devices. In: Electron Microscopy and Analysis Group Conference, Sheffield, England, 8-11 September 2009, 012037. (doi: 10.1088/1742-6596/241/1/012037)

Melitz, W., Shen, J., Lee, S., Bentley, S., Macintyre, D., Holland, M., Thayne, I. and Kummel, A. (2010) Potential mapping of UHV cleaved functional III-V MOSCAPs with Kelvin probe force microscopy. In: J: Materials and Devices for Beyond CMOS Scaling, San Francisco, USA, 6 April 2010,

Peralagu, U. , Holland, M.C., Paterson, G.W. and Thayne, I.G. (2010) The impact of strain engineering on hole mobility of In(x)Ga(1-x)As channels for III-V pMOSFET. In: TECHCON 2010, Austin, TX, USA, 13 - 14 September 2010,

Peralagu, U. , Holland, M.C., Paterson, G.W. and Thayne, I.G. (2010) Strain additivity and its impact on the hole mobility of InxGa1-xAs channels for III-V pMOSFETs. In: 19th European Workshop on Heterostructure Technology, Crete, Greece, 18-20 Oct 2010,

2009

Macintyre, D.S., Ignatova, O., Thoms, S. and Thayne, I.G. (2009) Resist residues and transistor gate fabrication. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 27(6), pp. 2597-2601. (doi: 10.1116/1.3243176)

Abuelmaatti, A., Thayne, I. and Abuelma'atti, M.T. (2009) Modify MOSFET Models For Nonlinear Quantification. Microwaves and RF, 48(9), 63-+.

Ayubi-Moak, J.S., Benbakhti, B., Kalna, K., Paterson, G.W. , Hill, R., Passlack, M., Thayne, I.G. and Asenov, A. (2009) Effect of interface state trap density on the characteristics of n-type, enhancement-mode, implant-free In0.3Ga0.7As MOSFETs. Microelectronic Engineering, 86(7-9), pp. 1564-1567. (doi: 10.1016/j.mee.2009.03.024)

Aberdeen University - Research and Innovation; University Court of the University of Glasgow (2009) Semiconductor device for generating an oscillating voltage. .

Hwang, C.J., Lok, L.B., Thayne, I.G. and Elgaid, K. (2009) Parallel coupled-line bandpass filter with branch-line shape for G-band frequency. Electronics Letters, 45(16), pp. 838-839. (doi: 10.1049/el.2009.0716)

Hill, R.J.W. et al. (2009) Deep sub-micron and self-aligned flatband III–V MOSFETs. In: Device Research Conference, 2009 (DRC 2009), University Park, PA, USA, 22-24 Jun 2009, pp. 251-252. (doi: 10.1109/DRC.2009.5354900)

Bentley, S., Li, X. , Moran, D.A.J. and Thayne, I.G. (2009) Two methods of realising 10 nm T-gate lithography. Microelectronic Engineering, 86(4-6), pp. 1067-1070. (doi: 10.1016/j.mee.2008.12.029)

Holland, M., Longo, P., Paterson, G.W. , Reid, W., Long, A., Stanley, C.R., Craven, A.J., Thayne, I.G. and Gregory, R. (2009) Characteristics of Gd-GaO grown by MBE. Microelectronic Engineering, 86(3), pp. 244-248. (doi: 10.1016/j.mee.2008.01.043)

Freescale Semiconductor, Inc. (2009) III-V MOSFET Fabrication and Device (Fabrication process of e.g. group III-V MOSFET for nano complementary metal oxide semiconductor application, involves heat treating metal contact structure to produce alloy region within semiconductor substrate). .

Abuelma'atti, A.M.T., Thayne, I.G. and Abuelma'atti, M. (2009) Design of source degenerated cascode dual functionality Lna/Pa for IEEE 802.15.4 (ZigBee). Microwave Journal, 52(6), 108-+.

Hwang, C.-J., Chong, H.M.H., Holland, M., Thayne, I.G. and Elgaid, K. (2009) Erratum for ‘DC–35 GHz low-loss MMIC switch using 50 nm gate-length MHEMT technology for ultra-low-power applications’. Electronics Letters, 45(14), p. 764. (doi: 10.1049/el.2009.1741)

Hwang, C.J., Chong, H.M.H., Holland, M., Thayne, I.G. and Elgaid, K. (2009) DC-35 GHz low-loss MMIC switch using 50 nm gate-length MHEMT technology for ultra-low-power applications. Electronics Letters, 45(12), pp. 632-633. (doi: 10.1049/el.2009.0684)

Hwang, C.J., Lok, L.B., Thayne, I.G. and Elgaid, K. (2009) A wide bandpass filter with defected ground structure for wide out-of-band suppression. In: APMC: 2009 Asia Pacific Microwave Conference, 7-10 December 2009, Singapore. IEEE: Piscataway, N.J., USA, pp. 2018-2021. ISBN 9781424428014 (doi: 10.1109/APMC.2009.5385299)

Hwang, C.J., McGregor, I., Oxland, R., Whyte, G., Thayne, I.G. and Elgaid, K. (2009) An ultra-low power OOK RF transceiver for wireless sensor networks. In: EuMC 09: European Microwave Conference, Rome, Italy, 29 Sept - 1 Oct 2009. IEEE Computer Society: Burlingame, USA, pp. 1323-1326. ISBN 9781424447480

Khalid, A. , Holland, M.C., Stanley, C.R., Thayne, I.G. , Cumming, D.S.R. , Pilgrim, N. and Dunn, G. (2009) Gunn oscillations in planar heterostructure devices. In: 4th Annual EMRS DTC Technical Conference, Edinburgh, UK, 7-8 Jul 2009,

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) 100 nm gate length enhancement mode GaAs MOSFETs fabricated by a fully self-aligned process. In: UK Compound Semiconductor Conference 2009, Sheffield, UK, 1-2 July 2009,

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) Fully self-aligned process for fabricating 100 nm gate length enhancement mode GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 27(6), pp. 3153-3157. (doi: 10.1116/1.3256624)

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) Fully self-aligned process for fabricating 100 nm gate length enhancement mode GaAs MOSFETs. In: EIPBN 2009: The 53rd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, Marco Island, Florida, USA, 24-29 May 2009,

Lok, L.B., Hwang, C.J., Chong, H.M.H., Thayne, I.G. and Elgaid, K. (2009) A W-band MMIC vector modulator utilizing tandem couplers and 50nm MHEMTs. In: European Microwave Conference, Rome, Italy, 29 Sept - 1 Oct 2009, pp. 1251-1254.

Longo, P., Craven, A.J., Holland, M.C., Moran, D.A.J. and Thayne, I.G. (2009) A nanoanalytical investigation of high-k dielectric gate stacks for GaAs based MOSFET devices. Microelectronic Engineering, 86(3), pp. 214-217. (doi: 10.1016/j.mee.2008.08.013)

Longo, P., Paterson, G.W. , Holland, M.C., Thayne, I.G. and Craven, A.J. (2009) A nanoanalytical investigation of the Ga2O3/GaGdO dielectric gate stack for InGaAs based MOSFET devices. Microelectronic Engineering, 86(7-9), pp. 1568-1570. (doi: 10.1016/j.mee.2009.03.131)

McGregor, I., Lok, L.B., Hwang, C.J., Oxland, R., Whyte, G., Thayne, I.G. and Elgaid, K. (2009) Low complexity, low power, 10 GHz super-regenerative transceiver. In: APMC: 2009 Asia Pacific Microwave Conference, Singapore, 7-10 December 2009, pp. 587-590.

Thayne, I.G. et al. (2009) Review of current status of III-V MOSFETs. ECS Transactions, 19(5), pp. 275-286. (doi: 10.1149/1.3119552)

Thayne, I. , Li, X. , Jansen, W., Ignatova, O. , Bentley, S., Zhou, H., Macintyre, D., Thoms, S. and Hill, R. (2009) Development of III-V MOSFET process modules compatible with silicon ULSI manufacture. ECS Transactions, 25(7), pp. 385-395. (doi: 10.1149/1.3203975)

2008

Paterson, G.W. , Longo, P., Wilson, J.A., Craven, A.J., Long, A.R., Thayne, I.G., Passlack, M. and Droopad, R. (2008) Gallium oxide and gadolinium gallium oxide insulators on Si δ-doped GaAs/AlGaAs heterostructures. Journal of Applied Physics, 104(10), p. 103719. (doi: 10.1063/1.3029661)

Hill, R., Moran, D. , Li, X. , Macintyre, D.S., Thoms, S. , Asenov, A. , Droopad, R., Passlack, M. and Thayne, I. (2008) III-V MOSFETs: a possible solution for sub-22 nm CMOS nFETs. In: 17th European Heterostructure Technology Workshop, Venice, Italy, Nov 2008,

Thayne, I. G. , Hill, R. J. W., Moran, D.A.J., Kalna, K., Asenov, A. and Passlack, M. (2008) Comments on "High Performance Inversion-Type Enhancement-Mode InGaAs MOSFET With Maximum Drain Current Exceeding 1 A/mm". IEEE Electron Device Letters, 29(10), pp. 1085-1086. (doi: 10.1109/LED.2008.2002752)

Kalna, K., Seoane, N., Garcia-Loureiro, A. J., Thayne, I. G. and Asenov, A. (2008) Benchmarking of scaled InGaAs implant-free NanoMOSFETs. IEEE Transactions on Electron Devices, 55(9), pp. 2297-2306. (doi: 10.1109/TED.2008.927658)

Li, X. , Zhou, H., Hill, R., Holland, M. and Thayne, I.G. (2008) Low damage inductively coupled plasma etching of sub-100 nm platinum gate line in SF6/C4F8 for III-V MOSFET fabrication process. In: 34th International Conference on Micro- and Nano-Engineering (MNE 2008), Athens, Greece, 15-18 September 2008,

Hill, R.J.W. et al. (2008) 1 μm gate length, In0.75Ga0.25As channel, thin body n-MOSFET on InP substrate with transconductance of 737μS/μm. Electronics Letters, 44, pp. 498-500. (doi: 10.1049/el:20080470)

Abuelmaatti, A., Thayne, I.G. and Abuelma'atti, M.T. (2008) Harmonic and IMD frequency components affecting nonlinear distortion with feed back in MOSFET amplifiers. In: IEEE Asia Pacific Conference on Circuits and Systems, 2008. APCCAS 2008. IEEE, pp. 1600-1603. ISBN 9781424423415 (doi: 10.1109/APCCAS.2008.4746341)

Abuelmaatti, A., Thayne, I.G. and Abuelma'atti, M.T. (2008) Linearization of table-based MOSFET model parameters for nonlinear quantification. In: Circuits and Systems, 2008. APCCAS 2008. IEEE Asia Pacific Conference. IEEE, pp. 1608-1611. ISBN 9781424423415 (doi: 10.1109/APCCAS.2008.4746343)

Bentley, S., Li, X. , Moran, D. A. J. and Thayne, I. G. (2008) Fabrication of 22 nm T-gates for HEMT applications. Microelectronic Engineering, 85(5-6), pp. 1375-1378. (doi: 10.1016/j.mee.2008.01.058)

Hill, R.J.W., Moran, D.A.J. , Li, X. , Zhou, H., Macintyre, D.S., Thoms, S. , Asenov, A. and Thayne, I.G. (2008) Ino.75Gao.25As channel III–V MOSFETs with leading performance metrics. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418447)

Kalna, K. et al. (2008) III-V MOSFETs for digital applications with silicon co-integration. In: 7th International Conference on Advanced Semiconductor Devices and Microsystems, Smolenice, Slovakia, 12-16 October 2008, pp. 39-46. ISBN 9781424423255 (doi: 10.1109/ASDAM.2008.4743354)

Li, X. , Hill, R.J.W., Zhou, H. P., Wilkinson, C.D.W. and Thayne, I.G. (2008) A low damage Si3N4 sidewall spacer process for self-aligned sub-100 nm III-V MOSFETs. Microelectronic Engineering, 85(5-6), pp. 996-999. (doi: 10.1016/j.mee.2007.12.064)

Li, X. , Zhou, H.P., Abrokwah, J., Zurcher, P., Rajagopalan, K., Liu, W., Gregory, R., Passlack, M. and Thayne, I.G. (2008) Low damage ashing and etching processes for ion implanted resist and Si3N4 removal by ICP and RIE methods. Microelectronic Engineering, 85(5-6), pp. 966-968. (doi: 10.1016/j.mee.2007.12.056)

Lok, L., Hwang, C.J., Chong, H.M.H., Elgaid, K. and Thayne, I.G. (2008) Measurement and modeling of CPW transmission lines and power dividers on electrically thick GaAs substrate to 220GHz. In: 33rd International Conference on Infrared, Millimeter and Terahertz Waves: 15-19 September 2008, Pasadena, CA, USA. IEEE Computer Society: Piscataway, N.J., USA, pp. 734-735. ISBN 9781424421190 (doi: 10.1109/ICIMW.2008.4665794)

Longo, P., Craven, A.J., Scott, J. , Holland, M. and Thayne, I.G. (2008) Elemental profiling of III-V MOSFET high-k dielectric gate stacks using EELS spectrum imaging. In: Cullis, A.G. and Midgley, P.A. (eds.) Microscopy of Semiconducting Materials 2007. Series: Springer Proceedings in Physics (120). Springer-Verlag: Berlin, Germany, pp. 317-320. ISBN 9781402086144

Longo, P., Scott, J. , Craven, A.J., Hill, R.J.W. and Thayne, I.G. (2008) EFTEM and EELS SI: tools for investigating the effects of etching processes for III-V MOSFET devices. Journal of Physics: Conference Series, 126(1), 012053. (doi: 10.1088/1742-6596/126/1/012053)

Passlack, M., Droopad, R., Thayne, I.G. and Asenov, A. (2008) III-V MOSFETs for future transistor applications. Solid State Technology, 51(12), pp. 26-30.

Whyte, G., Darbari, F., McGregor, I., Glover, I. and Thayne, I.G. (2008) Different feeding geometries for planar elliptical UWB dipoles, and the excitation of leakage current. In: 2008 European Microwave Conference (EuMC), Amsterdam, The Netherlands, 27-31 Oct 2008, pp. 1664-1667. (doi: 10.1109/EUMC.2008.4751722)

2007

Hill, R.J.W. et al. (2007) Enhancement-mode GaAs MOSFETs with an In0.3 Ga0.7As channel, a mobility of over 5000 cm2/V ·s, and transconductance of over 475 μS/μm. IEEE Electron Device Letters, 28(12), pp. 1080-1082. (doi: 10.1109/LED.2007.910009)

Khalid, A.H. , Pilgrim, N.J., Dunn, G.M., Holland, M.C., Stanley, C.R., Thayne, I.G. and Cumming, D.R.S. (2007) A planar Gunn diode operating above 100 GHz. IEEE Electron Device Letters, 28(10), pp. 849-851. (doi: 10.1109/LED.2007.904218)

Holland, M., Stanley, C.R., Reid, W., Hill, R.J.W., Moran, D.A.J., Thayne, I., Paterson, G.W. and Long, A.R. (2007) Ga2O3 grown on GaAs by molecular beam epitaxy for metal oxide semiconductor field effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 25(5), pp. 1706-1710. (doi: 10.1116/1.2778690)

Khalid, A.H. , Dunn, G.M., Pilgrim, N., Stanley, C.R., Thayne, I.G., Holland, M. and Cumming, D.R.S. (2007) Planar Gunn-type triode oscillator at 83 GHz. Electronics Letters, 43(15), pp. 837-838. (doi: 10.1049/el:20071099)

Holland, M., Stanley, C.R., Reid, W., Thayne, I. , Paterson, G.W. , Long, A.R., Longo, P., Scott, J. , Craven, A.J. and Gregory, R. (2007) GdGaO: a gate dielectric for GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 25(3), pp. 1024-1028. (doi: 10.1116/1.2738480)

Li, X. , Zhou, H., Hill, R.J.W., Wilkinson, C.D.W. and Thayne, I.G. (2007) Dry etching of a device quality high-k GaxGdyOz gate oxide in CH4/H2–O2 chemistry for the fabrication of III–V MOSFETs. Microelectronic Engineering, 84(5-8), pp. 1124-1127. (doi: 10.1016/j.mee.2007.01.045)

Hill, R.J.W., Moran, D.A.J., Li, X. , Zhou, H., Macintyre, D., Thoms, S., Droopad, R., Passlack, M. and Thayne, I.G. (2007) 180nm metal gate, high-k dielectric, implant-free III--V MOSFETs with transconductance of over 425 μS/μm. Electronics Letters, 43, pp. 543-545. (doi: 10.1049/el:20070427)

Passlack, M. et al. (2007) High mobility III-V MOSFETs for RF and digital applications. In: IEEE International Electron Devices Meeting (IEDM 2007), Washington DC, USA, 10-12 December 2007, pp. 621-624. ISBN 9781424415083 (doi: 10.1109/IEDM.2007.4419016)

Kalna, K., Wilson, J.A., Moran, D.A.J., Hill, R.J.W., Long, A.R., Droopad, R., Passlack, M., Thayne, I.G. and Asenov, A. (2007) Monte Carlo simulations of high-performance implant free In0.3Ga0.7 nano-MOSFETs for low-power CMOS applications. IEEE Transactions on Nanotechnology, 6(1), pp. 106-112. (doi: 10.1109/TNANO.2006.888543)

Asenov, A., Kalna, K., Thayne, I. and Hill, R. (2007) Simulation of implant free III-V MOSFETs for high performance low power Nano-CMOS applications. Microelectronic Engineering, 84, pp. 2398-2403. (doi: 10.1016/j.mee.2007.04.117)

Hill, R. J. W., Holland, M., Li, X. , Macintyre, D., Moran, D. , Stanley, C. R., Thoms, S. , Zhou, H. and Thayne, I. G. (2007) Recent Developments in III-V MOSFETs Technology. In: 15th International Symposium Nanostructures: Physics and Technology, Novosibirsk, Russia, 25-29 June 2007, pp. 134-136. ISBN 9785936340222

Hill, R.J.W., Holland, M.C., Li, X. , Macintyre, D.S., Moran, D.A.J. , Stanley, C.R., Thoms, S. and Thayne, I.G. (2007) Enhancement Mode, Implant Free, Metal Gate, High-K Dielectric, III-V MOSFETs. In: 2007 8th European Workshop on Ultimate Integration of Silicon (ULIS), Leuven, Belgium, 15-16 Mar 2007, pp. 129-132.

Khalid, A. , Holland, M.C., Stanley, C.R., Thayne, I.G. and Cumming, D.S.R. (2007) Gunn Oscillations in Planar Heterostructure Devices. In: DTC conference, July 2007,

Moran, D. A. J. et al. (2007) III-V Enhancement Mode MOSFETs for Digital Applications. In: IBM MRC Oxide Workshop, Zurich, Switzerland, 25-27 June 2007,

Moran, D. A. J. et al. (2007) High Performance Enhancement-Mode III-V MOSFETs. In: UK Compound Semiconductor Conference 2007, Sheffield, UK, 2007,

Moran, D.A.J. et al. (2007) High Performance Enhancement Mode III-V MOSFETs. IBM Workshop on Advanced Oxides, Zurich, Switzerland, June 2007.

Moran, D.A.J. et al. (2007) Sub-micron, Metal Gate, High-к Dielectric, Implant-free, Enhancement-mode III-V MOSFETs. In: 37th European Solid State Device Research Conference (ESSDERC 2007), Munich, Germany, 11-13 September 2007, pp. 466-469. ISBN 9781424411245 (doi: 10.1109/ESSDERC.2007.4430979)

Passlack, M. et al. (2007) High mobility III-V MOSFET Technology. In: CS MANTECH Conference, Austin, TX, USA, 14-17 May 2007,

Passlack, M. et al. (2007) High Mobility III-V MOSFET Technology. In: 7th Topical Workshop on Heterostructure Microelectronics (TWHM 2007), Chiba, Japan, 21-24 Aug 2007,

Rajagopalan, K. et al. (2007) Enhancement Mode n-MOSFET with High-κ Dielectric on GaAs Substrate. In: IEEE 65th Annual Device Research Conference, South Bend, Indiana, USA, 18-20 June 2007, pp. 205-206. ISBN 9781424411023 (doi: 10.1109/DRC.2007.4373719)

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2007) 50 nm metamorphic GaAs and InPHEMTs. Thin Solid Films, 515, pp. 4373-4377. (doi: 10.1016/j.tsf.2006.07.104)

Thayne, I. G. et al. (2007) High Performance Enhancement Mode III-V MOSFETs for Silicon Co-Integration. In: Silicon Nanoelectronics Workshop, Kyoto, Japan, 10-11 June 2007,

Thayne, I.G. et al. (2007) Recent Progress in III-V MOSFETs. In: UK Condensed Matter and Material Physics Conference, Leicester, UK, April 2007,

2006

Paterson, G.W. , Wilson, J.A., Moran, D. , Hill, R., Long, A.R., Thayne, I. , Passlack, M. and Droopad, R. (2006) Gallium oxide (Ga2O3)on gallium arsenide - A low defect, high-K system for future devices. Materials Science and Engineering B: Solid-State Materials for Advanced Technology, 135(3), pp. 277-281. (doi: 10.1016/j.mseb.2006.08.026)

Moran, D.A.J., McLelland, H., Elgaid, K., Whyte, G., Stanley, C.R. and Thayne, I. (2006) 50-nm self-aligned and 'standard' T-gate InP pHEMT comparison: the influence of parasitics on performance at the 50-nm node. IEEE Transactions on Electron Devices, 53(12), pp. 2920-2925. (doi: 10.1109/TED.2006.885674)

Li, X. , Zhou, H., Wilkinson, C. D.W. and Thayne, I. G. (2006) Optical emission spectrometry of plasma in low-damage sub-100 nm tungsten gate reactive ion etching process for compound semiconductor transistors. Japanese Journal of Applied Physics, 45(Pt.1), pp. 8364-8369. (doi: 10.1143/JJAP.45.8364)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S., Macintyre, D., Holland, M. and Thayne, I. (2006) 30 nm Tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors. Microelectronic Engineering, 83, pp. 1152-1154. (doi: 10.1016/j.mee.2006.01.073)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S. , Macintyre, D.S., Holland, M.C. and Thayne, I.G. (2006) 30 nm tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors. Microelectronic Engineering, 83(4-9), pp. 1152-1154. (doi: 10.1016/j.mee.2006.01.073)

Abuelmaatti, A., Thayne, I., McGregor, I. and Wasige, E. (2006) A new implementation for RF SiCMOS transistor model using SDD for quantifying individual contribution to distortion from transistor's nonlinear parameters. In: Asia Pacific Microwave Conference, Yokohama, Japan,

Elgaid, K., Holland, M., McLelland, H., Moran, D., Thoms, S., Stanley, C. and Thayne, I. (2006) 50nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: Indium Phosphide & Related Materials, Princeton, USA, Paper TuB2.

Elgaid, K., Thayne, I., Whyte, G., Martens, J. and Culver, D. (2006) Parasitic moding influences on coplanar waveguide passive components at G-band frequency. In: European Microwave Conference, Manchester, UK,

Hill, R.J.W., Li, X. , Moran, D.A.J. , Zhou, H. and Thayne, I.G. (2006) A Low Damage Subtractive Ohmic Contact Process for III-V Mosfets. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Holland, M., Stanley, C., Reid, W., Thayne, I., Paterson, G. and Long, A. (2006) Ga2O3 grown on GaAs by MBE for GAAs MOSFETs. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Holland, M., Stanley, C., Reid, W., Thayne, I., Paterson, G. and Long, A. (2006) Ga2O3 grown on GaAs by MBE for MOSFETs. In: North American Molecular Bean Epitaxy Conference, North Carolina, USA,

Holland, M., Stanley, C., Reid, W., Thayne, I., Paterson, G., Long, A., Longo, P., Scott, J. and Craven, A. (2006) GdGaO a gate dielectric for GaAs MOSFETs. In: North American Molecular Bean Epitaxy Conference, North Carolina, USA,

Holland, M., Stanley, C., Reid, W., Thayne, I., Paterson, G., Long, A., Longo, P., Scott, J. and Craven, A. (2006) GdGaO a gate dielectric for GaAs MOSFETs. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Kalna, K., Hill, R., Wilson, J., Moran, D., Long, A., Asenov, A. and Thayne, I. (2006) Monte Carlo simulation of sub-30 nm high indium implant free III-V MOSFETs for low power digital applications. In: UK III-V Compound Semiconductors 2006, Sheffield, UK, D-0-3.

Kalna, K., Wilson, J., Moran, D., Hill, R., Long, A., Droopad, R., Passlack, M., Thayne, I. and Asenov, A. (2006) MC simulation of high performance InGaAs nano-MOSFETs for low power CMOS applications. In: IEEE 2006 Silicon Nanoelectronics Workshop, Honolulu, p. 13.

Li, X., Zhou, H., Hill, R., Wilkinson, C. and Thayne, I. (2006) Dry etching of a device quality high-k GaxGdyOz oxide in CH4/H2-O2 chemistry for the fabrication of III-V MOSFETs. In: 32nd International Conference on Micro-and Nano-Engineering 2006, Barcelona, Spain,

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S., Macintyre, D., Holland, M. and Thayne, I.G. (2006) A low damage RIE process for the fabrication of compound semiconductor based transistors with sub-100 nm tungsten gates. Microelectronic Engineering, 83(4-9), pp. 1159-1162. (doi: 10.1016/j.mee.2006.01.074)

Li, X. , Hill, R., Zhou, H., Wilkinson, C.D.W., Holland, M. and Thayne, I.G. (2006) GaxGdyOz Dry Etching Processes for the Fabrication of III-V MOSFET. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Li, X. , Hill, R., Zhou, H., Wilkinson, C.D.W. and Thayne, I.G. (2006) A low damage RIE SiN sidewall spacer process for self-aligned sub-100nm III-V MOSFETs. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Li, X. , Zhou, H., Cao, X., Wilkinson, C.D.W. and Thayne, I.G. (2006) Low damage dry etching processes for the fabrication of compound semiconductor based transistors with sub-100nm tungsten gates. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Li, X. , Zhou, H., Wilkinson, C. D.W. and Thayne, I. G. (2006) Optical Emission Spectrometry of Plasma in Low-Damage Sub-100 Nm Tungsten Gate Reactive Ion Etching Process for Compound Semiconductor Transistors. 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing (ICRP-6/SPP-23), 24-27 Jan 2006. pp. 8364-8369.

Longo, P., Scott, J., Hill, R., Moran, D., Craven, A. and Thayne, I. (2006) Elemental mapping of III-V MOSFET structures using energy filtered transmission electron microscopy. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

McGregor, I., Wasige, E. and Thayne, I. (2006) Sub milli-watt 2.4GHz super regenerative transceiver with ultra low duty cycle. In: Asia Pacific Microwave Conference, Yokohama, Japan, WEOF-26.

McGregor, I., Whyte, G., Elgaid, K., Wasige, E. and Thayne, I. (2006) A 400 micro W Tx/380 microW Rx 2.4GHz super-regenerative GaAs transceiver. In: European Microwave Conference, Manchester, UK, pp. 1523-1525.

Moran, D., McLelland, H., Elgaid, K., Stanley, C. and Thayne, I. (2006) Scaling of self-aligned T-gate InGaAs/InAlAs HEMT technology. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Scott, J., Longo, P., Holland, M., Stanley, C., Craven, A. and Thayne, I. (2006) Elemental profiling of III-V MOSFET dielectric stacks using scanning transmission electron microscopy with electron energy loss spectroscopy. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Thayne, I., Elgaid, K., Holland, M., McLelland, H., Moran, D.A.J., Thoms, S. and Stanley, C. (2006) 50 nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: 2006 International Conference on Indium Phosphide and Related Materials, Princeton, New Jersey, USA, 7-11 May 2006, pp. 181-184. ISBN 0780395581 (doi: 10.1109/ICIPRM.2006.1634143)

Thayne, I.G. et al. (2006) III-V MOSFETs for Digital Applications: An Overview. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Wilson, J., Paterson, G., Moran, D., Hill, R., Thayne, I. and Long, A. (2006) III-V MOS systems - charge control and transport. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Zhou, H., Elgaid, K., Wilkinson, C. and Thayne, I. (2006) Low-hydrogen-content silicon nitride deposited at room temperature by inductively coupled plasma deposition. Japanese Journal of Applied Physics, 45(Pt.1), pp. 8388-8392. (doi: 10.1143/JJAP.45.8388)

2005

Elgaid, K., McLelland, H., Holland, M., Moran, D.A.J., Stanley, C.R. and Thayne, I.G. (2005) 50-nm T-gate metamorphic GaAs HEMTs with fT of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26(11), pp. 784-786. (doi: 10.1109/LED.2005.857716)

Cao, X. et al. (2005) Low damage sputter deposition of tungsten for decanano compound semiconductor transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 23(6), pp. 3138-3142. (doi: 10.1116/1.2127937)

Dardari, F., Stewart, R., McGregor, I., Whyte, G. and Thayne, I. (2005) Channel estimation for short range wireless sensor networks. In: 2nd IEE/~EURASIP Conference, Southhampton, UK, pp. 25-28.

Elgaid, K., McLelland, H., Holland, M., Moran, D., Stanley, C. and Thayne, I. (2005) 50-nm T-gate metamorphic GaAs HEMTs with f(T) of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26, pp. 784-786. (doi: 10.1109/LED.2005.857716)

Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2005) Low noise W-band MMMIC amplifier using 50nm InP technology for millimeterwave receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 523-525. ISBN 1092-8669

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I. (2005) Low noise high performance 50nm T-GATE metamorphic HEMT with cut-off frequency FTOF 440Ghz for millimeterwave imaging receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 141-143. ISBN 1092-8669

Elgaid, K., Zhou, H., Wilkinson, C. and Thayne, I. (2005) Room temperature deposited Si3N4 characterization and applications in MMICs. In: 8th International symposium on Silicon Nitride and Silicon dioxide thin insulating films and emerging dielectrics, Quebec, Canada,

Elgaid, K., McLelland, H., Stanley, C.R. and Thayne, I.G. (2005) Low noise W-band MMMIC amplifier using 50 nm InP technology for millimeterwave receivers applications. In: International Conference on Indium Phosphide and Related Materials, Piscataway, 8-12 May 2005, pp. 523-525. ISBN 0780388917 (doi: 10.1109/ICIPRM.2005.1517548)

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I.G. (2005) Low noise high performance 50nm T-gate metamorphic HEMT with cut-off frequency fT of 440 GHz for millimeterwave imaging receivers applications. In: IEEE International Conference on Indium Phosphide and Related Materials, 2005, Glasgow, Scotland, 8-12 May 2005, pp. 141-143. ISBN 0780388917 (doi: 10.1109/ICIPRM.2005.1517439)

Hettak, K., Stubbs, M., Elgaid, K. and Thayne, I. (2005) A compact high performance semi-lumped low pass filter fabricated with a standard airbridge process. In: European Microwave Conference, Paris, France,

Hettak, K., Stubbs, M., Elgaid, K. and Thayne, I. (2005) Design and characterisation of elevated coplanar waveguide and thin film microstrip structures for mm-wave applications. In: European Microwave Conference, Paris, France,

Kalna, K., Elgaid, K., Thayne, I. and Asenov, A. (2005) Modelling of InPHEMTs with high indium content channels. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 192-195. ISBN 1092-8669

Li, X., Cao, X., Zhou, H., Wilkinson, C., Thoms, S., Macintyre, D., Holland, M. and Thayne, I. (2005) A low damage RIE process for the fabrication of cmpound semiconductor based transistors wtih sub-100nm tungsten gates. In: 31st International Conference on Micro and Nano-Engineering 2005, Vienna, Austria,

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2005) Surface mass spctrometric analysis of SiCl4/SiF4/O-2 dry etch gate recessed 120 nm T-gate GaAs pHEMTs. Microelectronic Engineering, 78-79, pp. 233-238. (doi: 10.1016/j.mee.2004.12.032)

McGregor, I., Maclean, D., Wasige, E. and Thayne, I. (2005) Using return ratios to desing microwave oscillators. In: 10th High Frequency Postgraduate Student Colloquium, Leeds, UK, pp. 73-76.

Moran, D., Cao, X., Elgaid, K., Boyd, E., Chen, Y., Thoms, S., McLelland, H., Stanley, C., Holland, M. and Thayne, I. (2005) Sub 100nm III-V HEMT technology: Approaching the Terahertz Regime. In: International Workshop on Terahertz Technology, Osaka, Japan,

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

2004

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C.R. and Thayne, I.G. (2004) Fabrication and performance of 50 nm T-gates for InP high electron mobility transistors. Microelectronic Engineering, 73-74, pp. 818-821. (doi: 10.1016/j.mee.2004.03.058)

Elgaid, K., Zhou, H., Wilkinson, C.D.W. and Thayne, I.G. (2004) Low temperature high density Si3N4 MIM capacitor technology for MMMIC and RF-MEMs applications. Microelectronic Engineering, 73-4, pp. 452-455. (doi: 10.1016/j.mee.2004.03.016)

Blaikie, R., Drysdale, T., Chong, H., Thayne, I. and Cumming, D. (2004) Wide-field-of-view photonic bandgap filters micromachined from silicon. Microelectronic Engineering, 73-4, pp. 357-361. (doi: 10.1016/j.mee.2004.02.069)

Boyd, E., Thoms, S., Moran, D., Elgaid, K., Cao, X., Holland, M., Stanley, C. and Thayne, I. (2004) Fabrication of very high performance 50nm T-gate metamorphic GaAs HEMT's with exceptional uniformity. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Boyd, E., Zhou, H., McLelland, H., Moran, D.A.J., Thoms, S. and Thayne, I.G. (2004) Fabrication of 30nm T-gate high electron mobility transistors using a bi-Layer of PMMA and UVIII. In: 2004 IEEE Conference on Optoelectronic and Microelectronic Materials and Devices, Brisbane, Australia, 8-10 December 2004, pp. 25-28. ISBN 0780388208 (doi: 10.1109/COMMAD.2004.1577483)

Burns, G., Thayne, I. and Arnold, J. (2004) Improvement of Planar Antenna efficiency when intergrated with a millimetre-wave photonic circuit. In: 34th European Microwave Conference, Amsterdam, The Netherlands,

Burns, G., Thayne, I. and Arnold, J. (2004) Improvement of Planar Antenna efficiency when intergrated with a millimetre-wave photonic circuit. In: 2004 International symposium on Antennas and propagation, Sendai, Japan,

Burns, G., Thayne, I. and Arnold, J. (2004) Integration of millimeter-wave planar antennas with photonic crystal structures. In: 27th ESA Antenna Technology workshop on Innovative Periodic Antennas, Seville, Spain,

Cao, X., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) High performance 50nm T-gate In0.52Al0.48As/In0.7Ga0.3As psuedomorphic high electron mobility transistors. In: 16th International Conference on Indium phosphide and Related Materials, Kagoshima, Japan,

Cao, X., Thoms, S., Stanley, C. and Thayne, I. (2004) High yield, high uniformity, high performance 50nm T-gate In0.52Al0.48As/In0.7Ga0.3As. In: 7th International Conference on Solid State and Intergrated Circuit Technology, Beijing, China,

Elgaid, K., McLelland, H., Cao, X., Boyd, E., Moran, D., Thoms, S., Zhou, H., Wilkinson, C., Stanley, C. and Thayne, I. (2004) An array-based design methodology for the realisation of 94GHz MMMIC amplifiers. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Elgaid, K., McLelland, H., Cao, X. and Thayne, I. (2004) Integration of a novel, high quality Si3N4 metal insulator metal (MIM) capacitors deposited by (ICP-CVD) at room temperature with 50nm T-gate metamorphic HEMTS to realise monolithic millimetre-wave integrated circuits (MMMICs). In: 16th International Conference on Indium phosphide and Related Materials, Kagoshima, Japan,

Elgaid, K., Zhou, H., Wilkinson, C. and Thayne, I. (2004) Low temperature high density highly uniform Si3N4 technology for passive and active devices in MMMIC applications. In: GaAs Mantech 2004, Tampa, USA,

Johnson, N., Khokhar, A., Elgaid, K., Thayne, I., Drysdale, T. and Cumming, D. (2004) Tools for metamaterials applications from GHz to optical frequencies. In: First Workshop of the Metamorphose, Lille-Louvain-la-Neuve, Belgium, France,

Li, X., Elgaid, K., McLelland, H. and Thayne, I. (2004) Surface mass spectrometric analysis of SiCl4/SiF4/O2 dry-etch gate recessed 120nm T-gate HEMTs. In: Microelectronic and Nanoelectronic Engineering 2004, Rotterdam, The Netherlands,

McGregor, I., Whyte, G., Wasige, E. and Thayne, I. (2004) UWB test system. In: 7th Analog Signal Processing Conference, Oxford, UK,

Moran, D.A.J., Boyd, E., Elgaid, K., McEwan, F., McLelland, H., Stanley, C.R. and Thayne, I.G. (2004) Self-aligned T-gate InP HEMT realisation through double delta doping and a non-annealed ohmic process. Microelectronic Engineering, 73-74, pp. 814-817. (doi: 10.1016/j.mee.2004.03.057)

Moran, D.A.J., Boyd, E., McEwan, F., McLelland, H., Stanley, C.R. and Thayne, I.G. (2004) Sub 100nm T-Gate uniformity in InP HEMT technology. In: International Conference on Compound Semiconductor Manufacturing Technology, Miami, Florida, USA, 3-6 May 2004,

Moran, D., Boyd, E., Elgaid, K., McEwan, F., McLelland, H., Stanley, C. and Thayne, I. (2004) Self-aligned T-gate InPHEMT realisation through double delta doping and a non-annealed ohmic process. Microelectronic Engineering, 73-4, pp. 814-817. (doi: 10.1016/j.mee.2004.03.057)

Moran, D., Boyd, E., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) 50nm T-gate lattice-matched InP HEMTs with fT of 430GHz using non-annealed ohmic contact process. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Smith, P. and Thayne, I. (2004) An array-based design methodology for 10GHz SiGe LC oscillators. In: 34th European Microwave Conference, Amsterdam, The Netherlands,

Thayne, I., Cao, X., Moran, D.A.J., Boyd, E., Elgaid, K., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2004) Very high performance 50 nm T-gate III-V HEMTs enabled by robust nanofabrication technologies. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany, 16-19 August 2004, pp. 95-97. ISBN 0780385365 (doi: 10.1109/NANO.2004.1392261)

Thoms, S., Macintyre, D., Elgaid, K., Stanley, C. and Thayne, I. (2004) The use of imprint lithography to fabricate high electron mobility transistors. In: International Conference on Electron, Photon, Ion beams and Nanofabrication, San Diego, USA,

Thoms, S. , Macintyre, D.S., Moran, D. and Thayne, I. (2004) Imprint lithography issues in the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 22(6), pp. 3271-3274. (doi: 10.1116/1.1821504)

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2004) Impact of device geometry and doping strategy on linearity and RF performance in Si/SiGe MODFETs. Microelectronics Reliability, 44, pp. 1101-1107. (doi: 10.1016/j.microrel.2004.04.003)

2003

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of ultrashort T gates using a PMMA/LOR/UVIII resist stack. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 3012-3016. (doi: 10.1116/1.1629292)

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D.S., Thoms, S., Stanley, C.R. and Thayne, I.G. (2003) Novel technologies for the realisation of GaAs pHEMTs with 120 nm self-aligned and nanoimprinted T-gates. Microelectronic Engineering, 67-89, pp. 769-774. (doi: 10.1016/S0167-9317(03)00137-0)

Blaikie, R., Drysdale, T., Chong, H., Thayne, I. and Cumming, D. (2003) Wide field of view photonic bandgap filters micromachined from silicon. In: Microelectronic and Nanoelectronic Engineering 2003, Cambridge, UK,

Blaikie, R., Drysdale, T., Cumming, D., Chong, H. and Thayne, I. (2003) Wide field of view, narrow bandwidth filters using photonic bandgap metamaterials. In: Progress in Electromagnetics, Honolulu, Hawaii,

Burns, G. and Thayne, I. (2003) Integration of millimetre-wave planar antennas with photonic crystal structures. In: IEEE AP-S Topical Conference on Wireless Communications Technology, Honolulu,

Cao, X., Boyd, E., McLelland, H., Thoms, S., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate AlGaAs/InGaAs pseudomorphic high electron mobility transistors with fT of 200GHz. In: European Microwave Conference, Munich, Germany,

Cao, X. and Thayne, I. (2003) Novel high uniformity highly reproducible non-selective wet digital gate recess etch process for InPHEMTs. Microelectronic Engineering, 67-8, pp. 333-337. (doi: 10.1016/S0167-9317(03)00087-X)

Cao, X. and Thayne, I. (2003) High uniformity highly reproducible non-selective wet gate recess etch process for InP HEMT's. In: GaAs MANTECH, Scottsdale, USA,

Cao, X., Thoms, S., Holland, M., Stanley, C. and Thayne, I. (2003) High performance 50nm T-gate In0.25AlAs/In0.53GaAs Metamorphic high electron mobility transistors. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal,

Cao, X., Thoms, S., Holland, M., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate In0.52AlAs/In0.53GaAs metamorphic high electron mobility transistors. In: European Microwave Conference, Munich, Germany,

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C. and Thayne, I. (2003) Fabrication and performance of 50nm T-gate for high electron mobility transistors. In: Microelectronic and Nanoelectronic Engineering 2003, Cambridge, UK,

Chen, Y., Macintyre, D., Boyd, E., Moran, D., Thayne, I. and Thoms, S. (2003) High electron mobility transistors fabricated by nanoimprint lithography. Microelectronic Engineering, 67-8, pp. 189-195. (doi: 10.1016/S0167-9317(03)00183-7)

Chen, Y., Macintyre, D., Gourlay, D., Boyd, E., Moran, D., Cao, X., Thayne, I. and Thoms, S. (2003) The fabrication of 50nm T-gates using a PMMA.LOR.UVIII resist stack. In: EIPBN 2003 - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA,

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of Ultrashort T Gates Using a PMMA/LOR/UVIII Resist Stack. In: - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA, 27-30 May 2003,

Elgaid, K., McCloy, D. and Thayne, I. (2003) Micromachined SU8 negative resist for MMIC applications on low resistivity CMOS substrates. Microelectronic Engineering, 67-8, pp. 417-421. (doi: 10.1016/S0167-9317(03)00188-6)

Elgaid, K. and Thayne, I. (2003) Passvie and active devices using Si and SiGe for MMIC applications. In: 3rd ESA Workshop on mm-wave Technology, Helsinki, Finland,

Elgaid, K., Zhou, H., Wilkinson, C. and Thayne, I. (2003) Low temperature high density Si3N4 MIM capacitors technology for MMIC and RF-MEMs applications. In: Microelectronic and Nanoelectronic Engineering, Cambridge, UK,

Li, X. , Elgaid, K., McLelland, H. and Thayne, I. G. (2003) A Novel Single Step Sol-Gel Process for Silica on Silicon PLC's. In: 14th International Conference on Integrated Optics and Optical Fibre Communication, Rimini, Italy, 22-24 Sept 2003,

Macintyre, D.S., Chen, Y., Gourlay, D., Boyd, E., Moran, D. , Cao, X., Elgaid, K., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Nanoimprint lithography process optimization for the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 2783-2787. (doi: 10.1116/1.1629719)

Moran, D., Kalna, K., Elgaid, K., McEwan, F., McLelland, H., Zhuang, L., Thayne, I., Stanley, C. and Asenov, A. (2003) Self-aligned 0.12micron T-gate InGaAs/InAlAs HEMT technology utilizing a non-annealed contact strategy. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal, pp. 315-318.

Moran, D. A. J. , Kalna, K., Boyd, E., McEwan, F., McLelland, H., Zhuang, L. L., Stanley, C. R., Asenov, A. and Thayne, I. (2003) Self-aligned 0.12mm T-gate In.53Ga.47As/In.52Al.48As HEMT Technology Utilising a Non Annealed Ohmic Contact Strategy. In: ESSDERC '03 : 33rd Conference on European Solid-State Device Research, Estoril, Portugal, 16-18 September 2003, pp. 315-318. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256877)

Thayne, I. et al. (2003) Advanced III-V HEMT MMIC Technologies for Millemeter-Wave Applications. In: European Microwave Week 2003, Munich, Germany, 6-10 Oct 2003,

Thayne, I. (2003) Sub-100nm III-V and Si/SiGe HEMT MMIC technologies for millimetre-wave applications beyond 100GHz. In: European Microwave Conference, Munich, Germany,

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications. In: 14th Workshop on Modeling and Simulation of Electron Devices, Barcelona, Spain, pp. 41-44.

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications. In: IEEE Conference on Electron devices and solid state circuits, Hong Kong, pp. 331-344.

Yang, L., Asenov, A., Borici, M., Watling, J. R., Barker, J. R., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimizations of sub-100 nm Si/SiGe MODFETs for high linearity RF applications. In: IEEE Conference on Electron Devices and Solid-State Circuits, Kowloon, Hong Kong, 16-18 December 2003, pp. 331-334. ISBN 0780377494 (doi: 10.1109/EDSSC.2003.1283543)

2002

Boyd, E., Moran, D., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) 120nm gate length e-beam and nanoimprint T-gate GaAs pHEMTs itilising non-annealed ohmic contacts. In: International Symposium on Compound Semiconductors, Lausanne, Switzerland,

Boyd, E., Moran, D. , McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S. , Stanley, C. R. and Thayne, I. G. (2002) 120nm Gate Length E-Beam and Nanoimprint T-Gate GaAs pHEMTs Utilizing Non-Annealed Ohmic Contacts. In: Compound Semiconductors 2002, Lausanne, Switzerland, 7-10 Oct 2002, ISBN 9780750309424

Burns, G., Chong, H., Edgar, D., Ross, A., Elgaid, K., McLelland, H., Ferguson, S., McEwan, F. and Thayne, I. (2002) Millimetre-wave high frequency photonic crystal antennas. In: IEEE 2002 High Frequency Postgraduate Student Colloquium, London, UK,

Cao, X. and Thayne, I. (2002) Novel high uniformity highly reproducible non-selective wet recess etch for InP HEMTs. In: Microelectronic and Nanoelectronic Engineering 2002, Lugano, Switzerland,

Chen, Y., Macintyre, D., Boyd, E., Moran, D. , Thayne, I. and Thoms, S. (2002) Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 20(6), pp. 2887-2890. (doi: 10.1116/1.1520564)

Edgar, D. L. et al. (2002) Millimetre-wave Performance of InAlAs/InGaAs HEMTs Using a UVIII/PMMA Bilayer for 70nm T-Gate Fabrication. In: European Microwave Week 2002, Milan, Italy, 23-27 Sept 2002,

Edgar, D. et al. (2002) Millimeter-wave performance of In/AlAs/InGaAs HEMT's using a UVIII/PMMA bilayer for 70nm T-gate fabrication. In: European Microwave Conference, Milan, Italy,

Elgaid, K., McCloy, D., Edgar, D. and Thayne, I. (2002) Coplanar waveguide and spiral inductors for MMIC applications on low resistivity CMOS grade silicon using micromachined SU8 negative resist. In: European Microwave Conference, Milan, Italy,

Elgaid, K., McCloy, D., Ferguson, S. and Thayne, I. (2002) Coplanar waveguide and spiral inductors for MMIC applications on low resistivity CMOS grade silicon using micromachined SU8 negative resist. In: Asia Pacific Conference, Kyoto, Japan,

Elgaid, K., McCloy, D. and Thayne, I. (2002) Micromachined SU8 negative resist for MMIC applications on low resistivity CMOS substrate. In: Microelectronic and Nanoelectronic Engineering 2002, Lugano, Switzerland,

Kalna, K., Roy, S., Asenov, A., Elgaid, K. and Thayne, I. (2002) Scaling of pseudomorphic high electron mobility transistors to decanano dimensions. Solid-State Electronics, 46, pp. 631-638.

Lee, H., Loyo-Maldonado, V., Qiu, B., Lee, K., Shu, C., Pinches, S., Thayne, I., Bryce, A. and Marsh, J. (2002) Efficient direct locking of colliding pulse mode-locked lasers on semi-insulating substrate at 1.5 mu m. IEEE Photonics Technology Letters, 14, pp. 1049-1051.

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) Novel technologies for the realisation of GaAs pHEMTs wtih 20nm self-aligned and nanoimprinted T-gates. In: Micro- and NanoEngineering 2002, Lugano, Switzerland, ISBN 0167-9317

Pellegrini, G. et al. (2002) Technology development of 3D detectors for high-energy physics and imaging. Nuclear Instruments and Methods in Physics Research. Section A: Accelerators, Spectrometers, Detectors, and Associated Equipment, 487(1-2), 19 -26. (doi: 10.1016/S0168-9002(02)00939-7)

Smith, P. and Thayne, I. (2002) 7.6GHz and 10GHz VC)s in 0.6mu m SiGe bipolar process using an array-based approach. In: IEEE 2002 High Frequency Postgraduate Student Colloquium, London, UK,

Yanson, D., Street, M., McDougall, S., Thayne, I., Marsh, J. and Avrutin, E. (2002) Ultrafast harmonic mode-locking of monolithic compound-cavity laser diodes incorporating photonic-bandgap reflectors. IEEE Journal of Quantum Electronics, 38, pp. 1-11.

2001

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2001) Effects of pressure and capping layer thickness on sub-micron T-gate recess etching of GaAs p-HEMTs by SiCl4/SiF4/O2 reactive ion etch. Microelectronic Engineering, 57-58, pp. 633-640. (doi: 10.1016/S0167-9317(01)00495-6)

Arnold, J., Reynolds, A., Chong, H., Thayne, I., Bonar, J., Jubber, M. and De,Maagt,, P. (2001) Silicon PBG crystals for 100GHz and 500GHz. In: 24th ESTEC Antenna Workshop on Innovative Periodic Antennas, Noordwijk, The Netherlands,

Chongcheawchamnan, M., Nam, S., Robertson, I., Elgaid, K. and Thayne, I. (2001) Ultrawideband characterisation of CPW GaAs monolithic 60 GHz couplers using overlaid structures. In: Asia pacific microwave conference, Taipei,

Kalna, K., Asenov, A., Elgaid, K. and Thayne, I. (2001) Scaling of pHEMTs to decanano dimensions. VLSI Design, 13, pp. 435-439.

Reynolds, A., Chong, H., Thayne, I., de Maagt, P. and Arnold, J. (2001) Transmission response for in-plane and out of plane propagation for a 2D photonic crystal with a planar cavity. Synthetic Metals, 116, pp. 433-437.

Reynolds, A., Chong, H., Thayne, I., Arnold, J. and de Maagt, P. (2001) Analysis of membrane support structures for integrated antenna usage on two-dimensional photonic-bandgap structures. IEEE Transactions on Microwave Theory and Techniques, 49, pp. 1254-1261.

Thayne, I. (2001) Fabrication of on-wafer MMIC compatible integrated NiCr loads. Microelectronic Engineering, 57-58, pp. 801-806.

Thayne, I. G. , Elgaid, K. and Ternent, G. (2001) Devices and fabrication technology. In: Robertson, I. D. and Lucyszyn, S. (eds.) RFIC and MMIC Design and Technology. Series: IEE circuits, devices and systems series (13). IEE Press: London, UK, pp. 31-81. ISBN 9780852967867 (doi: Devices and fabrication technology)

Yanson, D.A., Street, M.W., McDougall, S.D., Thayne, I.G. , Marsh, J.H. and Avrutin, E.A. (2001) Terahertz Frequency Mode-Locking of Monolithic Compound-Cavity Laser Diodes Incorporating Photonic-Bandgap Reflectors. In: 2001 International Topical Meeting on Microwave Photonics, Long Beach, CA, USA, 7-9 Jan 2002, pp. 25-28. ISBN 0780370031 (doi: 10.1109/MWP.2002.981787)

Yanson, D., Street, M., McDougall, S., Thayne, I., Marsh, J. and Avrutin, E. (2001) Terahertz repetition frequencies from harmonic mode-locked monolithic compound-cavity laser diodes. Applied Physics Letters, 78, pp. 3571-3573.

Yip, J., Collier, R., Jastrebski, A., Edgar, D., Elgaid, K., Thayne, I. and Li, D. (2001) Substrate-modes in doubled-layered coplanar waveguide. In: European Microwave Conference, London,

Young, P.R., McPherson, D.S., Chrisostomidis, C., Elgaid, K., Thayne, I.G. , Lucyszyn, S. and Robertson, I.D. (2001) Accurate non-uniform transmission line model and its application to the de-embedding of on-wafer measurements. IEE Proceedings: Microwaves Antennas and Propagation, 148(3), pp. 153-156. (doi: 10.1049/ip-map:20010402)

2000

Yanson, D.A., Street, M.W., Avrutin, E.A., McDougall, S.D., Thayne, I.G. and Marsh, J.H. (2000) Passive harmonic modelocking in monolithic compound-cavity laser diodes. Electronics Letters, 36(23), pp. 1930-1931. (doi: 10.1049/el:20001376)

Collier, R.J. et al. (2000) A Study of High Frequency Performance of Coplanar Waveguide as a Function of Substrate Thickness. In: 30th European Microwave Conference, Paris, France, 02-05 Oct 2000, ISBN 9780862132125 (doi: 10.1109/EUMA.2000.338733)

Ternent, G., Edger, D.L., McLelland, H., Williamson, F., Ferguson, S., Kaya, S., Wilkinson, C.D.W., Thayne, I.G. , Fobelets, K. and Hampson, J. (2000) Metal gate strained silicon MOSFETs for microwave integrated circuits. In: 8th IEEE International Symposium on High Performance Electron Devices for Microwave and Optoelectronic Applications, Glasgow, UK, 13-14 November 2000, pp. 38-43. (doi: 10.1109/EDMO.2000.919024)

Kalna, K., Asenov, A., Elgaid, K. and Thayne, I. (2000) Effect of impact ionization in scaled pHEMTs. In: 8th IEEE International Symposium on High Performance Electron Devices for Microwave and Optoelectronic Applications., Glasgow, UK, 13-14 November 2000, pp. 236-241. ISBN 078036550X

Kalna, K., Asenov, A., Elgaid, K. and Thayne, I. (2000) Performance of aggressively scaled pseudomorphic HEMTs: a monte carlo simulation study. In: Third International EuroConference on Advanced Semiconductor Devices and Microsystems., Smolenice Castle, Slovakia, 16-18 October 2000, pp. 55-58. ISBN 0780359399

Kalna, K., Roy, S., Asenov, A., Elgaid, K. and Thayne, I. (2000) RF analysis of aggressively scaled pHEMTs. In: 30th European Solid-State Device Research Conference., Cork, Ireland, 11-13 September 2000, pp. 156-159. ISBN 2863322486

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2000) Effects of Pressure and Capping Layer Thickness on Sub-Micron T-Gate Recess Etching of GaAs pHEMTs by SiCl4/SiF4/O2 Reactive Ion Etch. In: 26th International Conference on Micro- and Nano-Engineering, Jena, Germany, 18-21 Sept 2000,

1999

Edgar, D.L., Elgaid, K., Williamson, F., Ferguson, S., Ross, A., Doherty, F. , Thayne, I.G. , Taylor, M.R.S. and Beaumont, S.P. (1999) W-band Performance of Coplanar Waveguide on Thinned Substrates. In: 29th European Microwave Conference, Munich, Germany, 05-07 Oct 1999, pp. 363-366. ISBN 9780862131524 (doi: 10.1109/EUMA.1999.338569)

Elgaid, K., Li, X. , Williamson, F., McLelland, H., Ferguson, S.M., Holland, M.C., Beaumont, S.P. and Thayne, I.G. (1999) Optimisation of DC and RF performance of GaAs HEMT-based Schottky diodes. Electronics Letters, 35(19), pp. 1678-1679. (doi: 10.1049/el:19991104)

Ternent, G., Asenov, A., Thayne, I.G., MacIntyre, D.S., Thom, S. and Wilkinson, C.D.W. (1999) SiGe p-channel MOSFETs with tungsten gate. Electronics Letters, 35(5), pp. 430-431. (doi: 10.1049/el:19990305)

Edgar, D.L., Elgaid, K., Williamson, F., Ross, A., McLelland, H., Ferguson, S., Doherty, F. , Thayne, I.G. , Taylor, M.R.S. and Beaumont, S.P. (1999) W-band on Wafer Measurement of Active and Passive Devices. In: IEE Colloquium on Microwave Measurements: Current Techniques and Trends, London, UK, 23 Feb 1999, 2/1-2/6. (doi: 10.1049/ic:19990025)

Ternent, G., Ferguson, S., Borsosfoldi, Z., Elgaid, K., Lohdi, T., Edgar, D., Wilkinson, C.D.W. and Thayne, I.G. (1999) Coplanar waveguide transmission lines and high Q inductors on CMOS grade silicon using photoresist and polyimide. Electronics Letters, 35(22), pp. 1957-1958. (doi: 10.1049/el:19991298)

1997

Borsosfoldi, Z., Webster, D.R., Thayne, I.G., Asenov, A., Haigh, D.G. and Beaumont, S.P. (1997) Ultra-linear pseudomorphic HEMTs for wireless communications: A simulation study. In: IEEE International Symposium on Compound Semiconductors, San Diego, California, 8-11 September 1997, pp. 475-478. ISBN 0750305568 (doi: 10.1109/ISCS.1998.711718)

1995

Portnoi, E. L., Gorfinkel, V. B., Avrutin, E. A., Thayne, I. G. , Barrow, D. A., Marsh, J. H. and Luryi, S. (1995) Optoelectronic microwave-range frequency mixing in semiconductor lasers. IEEE Journal of Selected Topics in Quantum Electronics, 1(2), pp. 451-460. (doi: 10.1109/2944.401228)

Portnoi, E.L., Gorfinkel, V.B., Barrow, D.A., Thayne, I.G. , Avrutin, E.A. and Marsh, J.H. (1995) Semiconductor lasers as integrated optoelectronic up/down-converters. Electronics Letters, 31(4), pp. 289-290. (doi: 10.1049/el:19950164)

This list was generated on Thu Apr 18 20:19:43 2024 BST.
Number of items: 334.

Articles

Crawford, K. G. , Grant, J. , Hemakumara, D. T., Li, X. , Thayne, I. and Moran, D. A.J. (2022) High synergy atomic layer etching of AlGaN/GaN with HBr and Ar. Journal of Vacuum Science and Technology A: Vacuum, Surfaces, and Films, 40(4), 042601. (doi: 10.1116/6.0001862)

Menon, H., Morgan, N. P., Hetherington, C., Athle, R., Steer, M., Thayne, I. , Fontcuberta i Morral, A. and Borg, M. (2022) Fabrication of single‐crystalline InSb‐on‐insulator by rapid melt growth. Physica Status Solidi A: Applications and Materials Science, 219(4), 2100467. (doi: 10.1002/pssa.202100467)

Laney, S. K., Michalska, M., Li, T., Ramirez, F. V., Portnoi, M., Oh, J., Thayne, I. G. , Parkin, I. P., Tiwari, M. K. and Papakonstantinou, I. (2021) Delayed lubricant depletion of slippery liquid infused porous surfaces using precision nanostructures. Langmuir, 37(33), pp. 10071-10078. (doi: 10.1021/acs.langmuir.1c01310) (PMID:34286995)

Menon, H., Södergren, L., Athle, R., Johansson, J., Steer, M., Thayne, I. and Borg, M. (2021) Improved quality of InSb-on-insulator microstructures by flash annealing into melt. Nanotechnology, 32(16), 165602. (doi: 10.1088/1361-6528/abd656) (PMID:33361572)

Field, D. E., Cuenca, J. A., Smith, M., Fairclough, S. M., Massabuau, F. C.-P., Pomeroy, J. W., Williams, O., Oliver, R. A., Thayne, I. and Kuball, M. (2020) Crystalline interlayers for reducing the effective thermal boundary resistance in GaN-on-diamond. ACS Applied Materials and Interfaces, 12(48), pp. 54138-54145. (doi: 10.1021/acsami.0c10129) (PMID:33196180)

Laney, S. K., Li, T., Michalska, M., Ramirez, F., Portnoi, M., Oh, J., Tiwari, M. K., Thayne, I. G. , Parkin, I. P. and Papakonstantinou, I. (2020) Spacer-defined intrinsic multiple patterning. ACS Nano, 14(9), pp. 12091-12100. (doi: 10.1021/acsnano.0c05497) (PMID:32813489)

Smith, M. D., Li, X. , Uren, M. J., Thayne, I. G. and Kuball, M. (2020) Polarity dependence in Cl2-based plasma etching of GaN, AlGaN and AlN. Applied Surface Science, 521, 146297. (doi: 10.1016/j.apsusc.2020.146297)

Das, P. et al. (2020) Band line-up investigation of atomic layer deposited TiAlO and GaAlO on GaN. ECS Journal of Solid State Science and Technology, 9(6), 063003. (doi: 10.1149/2162-8777/aba4f4)

Smith, M. D. et al. (2020) GaN-on-diamond technology platform: bonding-free membrane manufacturing process. AIP Advances, 10(3), 035306. (doi: 10.1063/1.5129229)

Qian, C. et al. (2019) Enhanced strong interaction between nanocavities and p-shell excitons beyond the dipole approximation. Physical Review Letters, 122(8), 087401. (doi: 10.1103/PhysRevLett.122.087401)

Millar, D. A.J., Peralagu, U. , Li, X. , Steer, M. J., Fu, Y.-C., Hurley, P. K. and Thayne, I. G. (2019) Demonstration of genuine surface inversion for the p/n-In0.3Ga0.7Sb-Al2O3 MOS system with in-situ H2 plasma cleaning. Applied Physics Letters, 115, 231602. (doi: 10.1063/1.5122731)

Morozov, D. , Doyle, S. M., Banerjee, A., Brien, T. L.R., Hemakumara, D., Thayne, I. G. , Wood, K. and Hadfield, R. H. (2018) Design and characterisation of titanium nitride sub-arrays of kinetic inductance detectors for passive terahertz imaging. Journal of Low Temperature Physics, 193(3-4), pp. 196-202. (doi: 10.1007/s10909-018-2023-z)

Cho, S.-J., Li, X. , Guiney, I., Floros, K., Hemakumara, D., Wallis, D.J., Humphreys, C. and Thayne, I.G. (2018) Impact of stress in ICP-CVD SiN x passivation films on the leakage current in AlGaN/GaN HEMTs. Electronics Letters, 54(15), pp. 947-949. (doi: 10.1049/el.2018.1097)

Banerjee, A., Heath, R. M. , Morozov, D. , Hemakumara, D., Nasti, U., Thayne, I. and Hadfield, R. H. (2018) Optical properties of refractory metal based thin films. Optical Materials Express, 8(8), pp. 2072-2088. (doi: 10.1364/OME.8.002072)

Qian, C. et al. (2018) Two-photon Rabi splitting in a coupled system of a nanocavity and exciton complexes. Physical Review Letters, 120(21), 213901. (doi: 10.1103/PhysRevLett.120.213901) (PMID:29883144)

Amano, H. et al. (2018) The 2018 GaN power electronics roadmap. Journal of Physics D: Applied Physics, 51(16), 163001. (doi: 10.1088/1361-6463/aaaf9d)

Xie, C., Aziz, M., Pusino, V. , Khalid, A. , Steer, M., Thayne, I. G. , Sorel, M. and Cumming, D. R.S. (2017) Single-chip, mid-Infrared array for room temperature video rate imaging. Optica, 4(12), pp. 1498-1502. (doi: 10.1364/OPTICA.4.001498)

Aziz, M., Xie, C., Pusino, V. , Khalid, A. , Steer, M., Thayne, I. G. and Cumming, D. R.S. (2017) Multispectral mid-infrared light emitting diodes on a GaAs substrate. Applied Physics Letters, 111(10), 102102. (doi: 10.1063/1.4986396)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Hemakumara, D., Wallis, D. J., Wasige, E. , Moran, D. A.J. , Humphreys, C. J. and Thayne, I. G. (2017) Dual barrier InAlN/AlGaN/GaN-on-silicon high-electron-mobility transistors with Pt and Ni based gate stacks. Physica Status Solidi A: Applications and Materials Science, 214(8), 1600835. (doi: 10.1002/pssa.201600835)

Fu, Y.-C., Peralagu, U. , Millar, D. A.J., Lin, J., Povey, I., Li, X. , Monaghan, S., Droopad, R., Hurley, P. K. and Thayne, I. G. (2017) The impact of forming gas annealing on the electrical characteristics of sulfur passivated Al2O3/In0.53Ga0.47As (110) metal-oxide-semiconductor capacitors. Applied Physics Letters, 110(14), 142905. (doi: 10.1063/1.4980012)

Pusino, V. , Xie, C., Khalid, A. , Steer, M. J., Sorel, M. , Thayne, I. G. and Cumming, D. R.S. (2016) InSb photodiodes for monolithic active focal plane arrays on GaAs substrates. IEEE Transactions on Electron Devices, 63(8), pp. 3135-3142. (doi: 10.1109/TED.2016.2578982)

Oxland, R. et al. (2016) InAs FinFETs with Hfin = 20 nm fabricated using a top-down etch process. IEEE Electron Device Letters, 37(3), pp. 261-264. (doi: 10.1109/LED.2016.2521001)

Pusino, V. , Xie, C., Khalid, A.-u.-H. , Thayne, I. G. and Cumming, D. R.S. (2016) Development of InSb dry etch for mid-IR applications. Microelectronic Engineering, 153, pp. 11-14. (doi: 10.1016/j.mee.2015.12.014)

Roberts, J.W., Chalker, P.R., Lee, K.B., Houston, P.A., Cho, S.-J., Thayne, I.G. , Guiney, I., Wallis, D. and Humphreys, C.J. (2016) Control of threshold voltage in E-mode and D-mode GaN-on-Si metal-insulator-semiconductor heterostructure field effect transistors by in-situ fluorine doping of atomic layer deposition Al2O3 gate dielectrics. Applied Physics Letters, 108(7), 072901. (doi: 10.1063/1.4942093)

Ding, Y., Meriggi, L. , Steer, M., Fan, W., Bulashevich, K., Thayne, I. , Macgregor, C., Ironside, C. and Sorel, M. (2016) Design, simulations, and optimizations of mid-infrared multiple quantum well LEDs. Procedia Engineering, 140, pp. 36-42. (doi: 10.1016/j.proeng.2015.10.153)

Xie, C., Pusino, V. , Khalid, A.-u.-H. , Steer, M., Sorel, M. , Thayne, I. and Cumming, D. (2015) Monolithic integration of an active InSb-based mid-infrared photo-pixel with a GaAs MESFET. IEEE Transactions on Electron Devices, 62(12), pp. 4069-4075. (doi: 10.1109/TED.2015.2492823)

Cho, S.J., Roberts, J., Guiney, I., Li, X. , Ternent, G., Floros, K., Humphreys, C.J., Chalker, P. and Thayne, I.G. (2015) A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor. Microelectronic Engineering, 147, pp. 277-280. (doi: 10.1016/j.mee.2015.04.067)

Peralagu, U. et al. (2015) (Invited) towards a vertical and damage free post-etch InGaAs fin profile: dry etch processing, sidewall damage assessment and mitigation options. ECS Transactions, 69(5), pp. 15-36. (doi: 10.1149/06905.0015ecst)

Eblabla, A., Li, X. , Thayne, I. , Wallis, D. J., Guiney, I. and Elgaid, K. (2015) High performance GaN high electron mobility transistors on low resistivity silicon for X-Band applications. IEEE Electron Device Letters, 36(9), pp. 899-901. (doi: 10.1109/LED.2015.2460120)

Wang, S.-W. et al. (2015) Field-effect mobility of InAs surface channel nMOSFET with low Dit scaled gate-stack. IEEE Transactions on Electron Devices, 62(8), pp. 2429-2436. (doi: 10.1109/TED.2015.2445854)

Cao, M., Li, X. , Missous, M. and Thayne, I. (2015) Nanoscale molybdenum gates fabricated by low damage inductively coupled plasma SF6/C4F8 etching suitable for high performance compound semiconductor transistors. Microelectronic Engineering, 140, pp. 56-59. (doi: 10.1016/j.mee.2015.06.003)

Meriggi, L., Steer, M. J., Ding, Y., Thayne, I. G. , MacGregor, C., Ironside, C. N. and Sorel, M. (2015) Enhanced emission from mid-infrared AlInSb light-emitting diodes with p-type contact grid geometry. Journal of Applied Physics, 117(6), 063101. (doi: 10.1063/1.4905081)

Peralagu, U. , Povey, I. M., Carolan, P., Lin, J., Contreras-Guerrero, R., Droopad, R., Hurley, P. K. and Thayne, I. G. (2014) Electrical and physical characterization of the Al2O3/ p-GaSb interface for 1%, 5%, 10%, and 22% (NH4)2S surface treatments. Applied Physics Letters, 105(16), 162907. (doi: 10.1063/1.4899123)

Brown, R., Macfarlane, D., Al-Khalidi, A. , Li, X. , Ternent, G., Zhou, H., Thayne, I. and Wasige, E. (2014) A sub-critical barrier thickness normally-off AlGaN/GaN MOS-HEMT. IEEE Electron Device Letters, 35(9), pp. 906-908. (doi: 10.1109/LED.2014.2334394)

Cao, M., Li, X. , Ferguson, S., Thoms, S. , Macintyre, D. and Thayne, I. (2014) A simple silicon compatible 40nm electroplated copper T-gate process. Microelectronic Engineering, 121, pp. 153-155. (doi: 10.1016/j.mee.2014.05.007)

Brown, R., Al-Khalidi, A. , Macfarlane, D., Taking, S., Ternent, G., Thayne, I. and Wasige, E. (2014) Novel high performance AlGaN/GaN based enhancement-mode metal-oxide semiconductor high electron mobility transistor. Physica Status Solidi C, 11(3-4), pp. 844-847. (doi: 10.1002/pssc.201300179)

Khalid, A. et al. (2014) Terahertz oscillations in an In0.53Ga0.47As submicron planar gunn diode. Journal of Applied Physics, 115(11), p. 114502. (doi: 10.1063/1.4868705)

Khalid, A. et al. (2013) In0.53Ga0.47As planar Gunn diodes operating at a fundamental frequency of 164 GHz. IEEE Electron Device Letters, 34(1), pp. 39-41. (doi: 10.1109/LED.2012.2224841)

Paterson, G.W. , Holland, M.C., Thayne, I.G. and Long, A.R. (2012) Modeling and analysis of the admittance characteristics of n+ metal-oxide-semiconductor capacitors with oxide and interface states - Gd0.25Ga0.15O0.6/Ga2O3 on In0.53Ga0.47As. Journal of Applied Physics, 111(7), 074109. (doi: 10.1063/1.3702468)

Oxland, R. et al. (2012) An ultralow-resistance ultrashallow metallic source/drain contact scheme for III-V NMOS. IEEE Electron Device Letters, 33(4), pp. 501-503. (doi: 10.1109/LED.2012.2185919)

Abuelma'atti, A., Thayne, I. and Abuelma'atti, M.T. (2012) CMOS models transistor distortion. Microwaves and RF, 51(8), pp. 80-86.

Li, X. , Zhou, H., Hill, R.J.W., Holland, M. and Thayne, I. (2012) A low damage etching process of sub-100 nm platinum gate line for III-V metal-oxide-semiconductor field-effect transistor fabrication and the optical emission spectrometry of the inductively coupled plasma of SF6/C4F8. Japanese Journal of Applied Physics, 51(1), (doi: 10.1143/JJAP.51.01AB01)

Melitz, W., Kent, T., Kummel, A.C., Droopad, R., Holland, M. and Thayne, I. (2012) Atomic imaging of atomic layer deposition oxide nucleation with trimethylaluminum on As-rich InGaAs(001) 2 × 4 vs Ga∕In-rich InGaAs(001) 4 × 2. Journal of Chemical Physics, 136(15), p. 154706. (doi: 10.1063/1.4704126)

Paterson, G.W. , Bentley, S.J., Holland, M.C., Thayne, I.G. , Ahn, J., Long, R.D., McIntyre, P.C. and Long, A.R. (2012) Admittance and subthreshold characteristics of atomic-layer-deposition Al2O3 on In0.53Ga0.47As in surface and buried channel flatband metal-oxide-semiconductor field effect transistors. Journal of Applied Physics, 111(10), p. 104112. (doi: 10.1063/1.4720940)

Li, C., Khalid, A. , Caldwell, S.H.P., Holland, M., Dunn, G.M., Thayne, I.G. and Cumming, D.R.S. (2011) Design, fabrication and characterization of In0.23Ga0.77As-channel planar Gunn diodes for millimeter wave applications. Solid-State Electronics, 64(1), pp. 67-72. (doi: 10.1016/j.sse.2011.07.008)

Paterson, G.W. , Bentley, S.J., Holland, M.C., Thayne, I.G. and Long, A.R. (2011) Electrical characteristics of gadolinium gallium oxide/gallium oxide insulators on GaAs and In0.53Ga0.47As in metal-oxide-semiconductor field effect transistors - admittance and subthreshold characteristics. Journal of Applied Physics, 110(5), 054103. (doi: 10.1063/1.3631076)

Li, C., Lok, L.B., Khalid, A. , Thayne, I.G. and Cumming, D.R.S. (2011) Investigation of loading effect on power performance for Planar Gunn diodes using load-pull measurement technique. IEEE Microwave and Wireless Components Letters, 21(10), pp. 556-558. (doi: 10.1109/LMWC.2011.2163496)

Thayne, I. , Bentley, S., Holland, M., Jansen, W., Li, X. , Macintyre, D., Thoms, S. , Shin, B., Ahn, J. and McIntyre, P. (2011) III–V nMOSFETs – some issues associated with roadmap worthiness (invited). Microelectronic Engineering, 88(7), pp. 1070-1075. (doi: 10.1016/j.mee.2011.03.100)

Bentley, S. et al. (2011) Electron mobility in surface- and buried- channel flatband In0.53Ga0.47As MOSFETs with ALD Al2O3 gate dielectric. IEEE Electron Device Letters, 32(4), pp. 494-496. (doi: 10.1109/LED.2011.2107876)

Ahn, J., Geppert, I., Gunji, M., Holland, M., Thayne, I. , Eizenberg, M. and McIntyre, P.C. (2011) Titania/alumina bilayer gate insulators for InGaAs metal-oxide-semiconductor devices. Applied Physics Letters, 99(23), p. 232902. (doi: 10.1063/1.3662966)

Paterson, G.W. , Holland, M.C., Bentley, S.J., Thayne, I.G. and Long, A.R. (2011) Gadolinium gallium oxide/gallium oxide insulators on GaAs and In0.53Ga0.47As n+ MOS capacitors: The interface state model and beyond. Journal of Applied Physics, 109(12), p. 124112. (doi: 10.1063/1.3599895)

Paterson, G.W. , Holland, M.C., Thayne, I.G. and Long, A.R. (2011) Broadening of metal-oxide-semiconductor admittance characteristics: Measurement, sources, and its effects on interface state density analyses. Journal of Applied Physics, 110(11), p. 114115. (doi: 10.1063/1.3665720)

Oxland, R. K., Li, X. , Ferguson, S., Bentley, S. and Thayne, I. G. (2010) Copper-plated 50 nm T-gate fabrication. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6P7. (doi: 10.1116/1.3501346)

Hwang, C.J., Lok, L.B., Thayne, I.G. and Elgaid, K. (2010) W-band microstrip band-pass filter using branch-line coupler with open stubs. Microwave and Optical Technology Letters, 52(6), pp. 1436-1439. (doi: 10.1002/mop.25185)

Benbakhti, B., Ayubi-Moak, J.S., Kalna, K., Lin, D., Hellings, G., Brammertz, G., De Meyer, K., Thayne, I.G. and Asenov, A. (2010) Impact of interface state trap density on the performance characteristics of different III-V MOSFET architectures. Microelectronics Reliability, 50(3), pp. 360-364. (doi: 10.1016/j.microrel.2009.11.017)

Taking, S. et al. (2010) Surface passivation of AlN/GaN MOS-HEMTs using ultra-thin Al2O3 formed by thermal oxidation of evaporated aluminium. Electronics Letters, 46(4), pp. 301-302. (doi: 10.1049/el.2010.2781)

Hwang, C.-J., Lok, L.B., Chong, H.M.H., Holland, M., Thayne, I.G. and Elgaid, K. (2010) An ultra-low-power MMIC amplifier using 50nm delta In0.52Al0.48As/In0.53Ga0.47As metamorphic HEMT. IEEE Electron Device Letters, 31(11), pp. 1230-1232. (doi: 10.1109/LED.2010.2070484)

Ignatova, O., Thoms, S. , Jansen, W., Macintyre, D.S. and Thayne, I.G. (2010) Lithography scaling issues associated with III-V MOSFETs. Microelectronic Engineering, 87(5-8), pp. 1049-1051. (doi: 10.1016/j.mee.2009.11.093)

Li, X. , Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6L1. (doi: 10.1116/1.3501355)

Li, X., Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), (doi: 10.1116/1.3501355)

Li, X. , Zhou, H., Hill, R.J.W., Longo, P., Holland, M. and Thayne, I.G. (2010) Dry etching device quality high-kappa GaxGdyOz gate oxide in SiCl4 chemistry for low resistance ohmic contact realisation in fabricating III-V MOSFETs. Microelectronic Engineering, 87(5-8), pp. 1587-1589. (doi: 10.1016/j.mee.2009.11.011)

Longo, P., Holland, M.C., Paterson, G.W. , Craven, A.J. and Thayne, I.G. (2010) An EELS sub-nanometer investigation of the dielectric gate stack for the realization of InGaAs based MOSFET devices. Journal of Physics: Conference Series, 241, 012034. (doi: 10.1088/1742-6596/241/1/012034)

Macintyre, D.S., Ignatova, O., Thoms, S. and Thayne, I.G. (2009) Resist residues and transistor gate fabrication. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 27(6), pp. 2597-2601. (doi: 10.1116/1.3243176)

Abuelmaatti, A., Thayne, I. and Abuelma'atti, M.T. (2009) Modify MOSFET Models For Nonlinear Quantification. Microwaves and RF, 48(9), 63-+.

Ayubi-Moak, J.S., Benbakhti, B., Kalna, K., Paterson, G.W. , Hill, R., Passlack, M., Thayne, I.G. and Asenov, A. (2009) Effect of interface state trap density on the characteristics of n-type, enhancement-mode, implant-free In0.3Ga0.7As MOSFETs. Microelectronic Engineering, 86(7-9), pp. 1564-1567. (doi: 10.1016/j.mee.2009.03.024)

Hwang, C.J., Lok, L.B., Thayne, I.G. and Elgaid, K. (2009) Parallel coupled-line bandpass filter with branch-line shape for G-band frequency. Electronics Letters, 45(16), pp. 838-839. (doi: 10.1049/el.2009.0716)

Bentley, S., Li, X. , Moran, D.A.J. and Thayne, I.G. (2009) Two methods of realising 10 nm T-gate lithography. Microelectronic Engineering, 86(4-6), pp. 1067-1070. (doi: 10.1016/j.mee.2008.12.029)

Holland, M., Longo, P., Paterson, G.W. , Reid, W., Long, A., Stanley, C.R., Craven, A.J., Thayne, I.G. and Gregory, R. (2009) Characteristics of Gd-GaO grown by MBE. Microelectronic Engineering, 86(3), pp. 244-248. (doi: 10.1016/j.mee.2008.01.043)

Abuelma'atti, A.M.T., Thayne, I.G. and Abuelma'atti, M. (2009) Design of source degenerated cascode dual functionality Lna/Pa for IEEE 802.15.4 (ZigBee). Microwave Journal, 52(6), 108-+.

Hwang, C.-J., Chong, H.M.H., Holland, M., Thayne, I.G. and Elgaid, K. (2009) Erratum for ‘DC–35 GHz low-loss MMIC switch using 50 nm gate-length MHEMT technology for ultra-low-power applications’. Electronics Letters, 45(14), p. 764. (doi: 10.1049/el.2009.1741)

Hwang, C.J., Chong, H.M.H., Holland, M., Thayne, I.G. and Elgaid, K. (2009) DC-35 GHz low-loss MMIC switch using 50 nm gate-length MHEMT technology for ultra-low-power applications. Electronics Letters, 45(12), pp. 632-633. (doi: 10.1049/el.2009.0684)

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) Fully self-aligned process for fabricating 100 nm gate length enhancement mode GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 27(6), pp. 3153-3157. (doi: 10.1116/1.3256624)

Longo, P., Craven, A.J., Holland, M.C., Moran, D.A.J. and Thayne, I.G. (2009) A nanoanalytical investigation of high-k dielectric gate stacks for GaAs based MOSFET devices. Microelectronic Engineering, 86(3), pp. 214-217. (doi: 10.1016/j.mee.2008.08.013)

Longo, P., Paterson, G.W. , Holland, M.C., Thayne, I.G. and Craven, A.J. (2009) A nanoanalytical investigation of the Ga2O3/GaGdO dielectric gate stack for InGaAs based MOSFET devices. Microelectronic Engineering, 86(7-9), pp. 1568-1570. (doi: 10.1016/j.mee.2009.03.131)

Thayne, I.G. et al. (2009) Review of current status of III-V MOSFETs. ECS Transactions, 19(5), pp. 275-286. (doi: 10.1149/1.3119552)

Thayne, I. , Li, X. , Jansen, W., Ignatova, O. , Bentley, S., Zhou, H., Macintyre, D., Thoms, S. and Hill, R. (2009) Development of III-V MOSFET process modules compatible with silicon ULSI manufacture. ECS Transactions, 25(7), pp. 385-395. (doi: 10.1149/1.3203975)

Paterson, G.W. , Longo, P., Wilson, J.A., Craven, A.J., Long, A.R., Thayne, I.G., Passlack, M. and Droopad, R. (2008) Gallium oxide and gadolinium gallium oxide insulators on Si δ-doped GaAs/AlGaAs heterostructures. Journal of Applied Physics, 104(10), p. 103719. (doi: 10.1063/1.3029661)

Thayne, I. G. , Hill, R. J. W., Moran, D.A.J., Kalna, K., Asenov, A. and Passlack, M. (2008) Comments on "High Performance Inversion-Type Enhancement-Mode InGaAs MOSFET With Maximum Drain Current Exceeding 1 A/mm". IEEE Electron Device Letters, 29(10), pp. 1085-1086. (doi: 10.1109/LED.2008.2002752)

Kalna, K., Seoane, N., Garcia-Loureiro, A. J., Thayne, I. G. and Asenov, A. (2008) Benchmarking of scaled InGaAs implant-free NanoMOSFETs. IEEE Transactions on Electron Devices, 55(9), pp. 2297-2306. (doi: 10.1109/TED.2008.927658)

Hill, R.J.W. et al. (2008) 1 μm gate length, In0.75Ga0.25As channel, thin body n-MOSFET on InP substrate with transconductance of 737μS/μm. Electronics Letters, 44, pp. 498-500. (doi: 10.1049/el:20080470)

Bentley, S., Li, X. , Moran, D. A. J. and Thayne, I. G. (2008) Fabrication of 22 nm T-gates for HEMT applications. Microelectronic Engineering, 85(5-6), pp. 1375-1378. (doi: 10.1016/j.mee.2008.01.058)

Li, X. , Hill, R.J.W., Zhou, H. P., Wilkinson, C.D.W. and Thayne, I.G. (2008) A low damage Si3N4 sidewall spacer process for self-aligned sub-100 nm III-V MOSFETs. Microelectronic Engineering, 85(5-6), pp. 996-999. (doi: 10.1016/j.mee.2007.12.064)

Li, X. , Zhou, H.P., Abrokwah, J., Zurcher, P., Rajagopalan, K., Liu, W., Gregory, R., Passlack, M. and Thayne, I.G. (2008) Low damage ashing and etching processes for ion implanted resist and Si3N4 removal by ICP and RIE methods. Microelectronic Engineering, 85(5-6), pp. 966-968. (doi: 10.1016/j.mee.2007.12.056)

Longo, P., Scott, J. , Craven, A.J., Hill, R.J.W. and Thayne, I.G. (2008) EFTEM and EELS SI: tools for investigating the effects of etching processes for III-V MOSFET devices. Journal of Physics: Conference Series, 126(1), 012053. (doi: 10.1088/1742-6596/126/1/012053)

Passlack, M., Droopad, R., Thayne, I.G. and Asenov, A. (2008) III-V MOSFETs for future transistor applications. Solid State Technology, 51(12), pp. 26-30.

Hill, R.J.W. et al. (2007) Enhancement-mode GaAs MOSFETs with an In0.3 Ga0.7As channel, a mobility of over 5000 cm2/V ·s, and transconductance of over 475 μS/μm. IEEE Electron Device Letters, 28(12), pp. 1080-1082. (doi: 10.1109/LED.2007.910009)

Khalid, A.H. , Pilgrim, N.J., Dunn, G.M., Holland, M.C., Stanley, C.R., Thayne, I.G. and Cumming, D.R.S. (2007) A planar Gunn diode operating above 100 GHz. IEEE Electron Device Letters, 28(10), pp. 849-851. (doi: 10.1109/LED.2007.904218)

Holland, M., Stanley, C.R., Reid, W., Hill, R.J.W., Moran, D.A.J., Thayne, I., Paterson, G.W. and Long, A.R. (2007) Ga2O3 grown on GaAs by molecular beam epitaxy for metal oxide semiconductor field effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 25(5), pp. 1706-1710. (doi: 10.1116/1.2778690)

Khalid, A.H. , Dunn, G.M., Pilgrim, N., Stanley, C.R., Thayne, I.G., Holland, M. and Cumming, D.R.S. (2007) Planar Gunn-type triode oscillator at 83 GHz. Electronics Letters, 43(15), pp. 837-838. (doi: 10.1049/el:20071099)

Holland, M., Stanley, C.R., Reid, W., Thayne, I. , Paterson, G.W. , Long, A.R., Longo, P., Scott, J. , Craven, A.J. and Gregory, R. (2007) GdGaO: a gate dielectric for GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 25(3), pp. 1024-1028. (doi: 10.1116/1.2738480)

Li, X. , Zhou, H., Hill, R.J.W., Wilkinson, C.D.W. and Thayne, I.G. (2007) Dry etching of a device quality high-k GaxGdyOz gate oxide in CH4/H2–O2 chemistry for the fabrication of III–V MOSFETs. Microelectronic Engineering, 84(5-8), pp. 1124-1127. (doi: 10.1016/j.mee.2007.01.045)

Hill, R.J.W., Moran, D.A.J., Li, X. , Zhou, H., Macintyre, D., Thoms, S., Droopad, R., Passlack, M. and Thayne, I.G. (2007) 180nm metal gate, high-k dielectric, implant-free III--V MOSFETs with transconductance of over 425 μS/μm. Electronics Letters, 43, pp. 543-545. (doi: 10.1049/el:20070427)

Kalna, K., Wilson, J.A., Moran, D.A.J., Hill, R.J.W., Long, A.R., Droopad, R., Passlack, M., Thayne, I.G. and Asenov, A. (2007) Monte Carlo simulations of high-performance implant free In0.3Ga0.7 nano-MOSFETs for low-power CMOS applications. IEEE Transactions on Nanotechnology, 6(1), pp. 106-112. (doi: 10.1109/TNANO.2006.888543)

Asenov, A., Kalna, K., Thayne, I. and Hill, R. (2007) Simulation of implant free III-V MOSFETs for high performance low power Nano-CMOS applications. Microelectronic Engineering, 84, pp. 2398-2403. (doi: 10.1016/j.mee.2007.04.117)

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2007) 50 nm metamorphic GaAs and InPHEMTs. Thin Solid Films, 515, pp. 4373-4377. (doi: 10.1016/j.tsf.2006.07.104)

Paterson, G.W. , Wilson, J.A., Moran, D. , Hill, R., Long, A.R., Thayne, I. , Passlack, M. and Droopad, R. (2006) Gallium oxide (Ga2O3)on gallium arsenide - A low defect, high-K system for future devices. Materials Science and Engineering B: Solid-State Materials for Advanced Technology, 135(3), pp. 277-281. (doi: 10.1016/j.mseb.2006.08.026)

Moran, D.A.J., McLelland, H., Elgaid, K., Whyte, G., Stanley, C.R. and Thayne, I. (2006) 50-nm self-aligned and 'standard' T-gate InP pHEMT comparison: the influence of parasitics on performance at the 50-nm node. IEEE Transactions on Electron Devices, 53(12), pp. 2920-2925. (doi: 10.1109/TED.2006.885674)

Li, X. , Zhou, H., Wilkinson, C. D.W. and Thayne, I. G. (2006) Optical emission spectrometry of plasma in low-damage sub-100 nm tungsten gate reactive ion etching process for compound semiconductor transistors. Japanese Journal of Applied Physics, 45(Pt.1), pp. 8364-8369. (doi: 10.1143/JJAP.45.8364)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S., Macintyre, D., Holland, M. and Thayne, I. (2006) 30 nm Tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors. Microelectronic Engineering, 83, pp. 1152-1154. (doi: 10.1016/j.mee.2006.01.073)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S. , Macintyre, D.S., Holland, M.C. and Thayne, I.G. (2006) 30 nm tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors. Microelectronic Engineering, 83(4-9), pp. 1152-1154. (doi: 10.1016/j.mee.2006.01.073)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S., Macintyre, D., Holland, M. and Thayne, I.G. (2006) A low damage RIE process for the fabrication of compound semiconductor based transistors with sub-100 nm tungsten gates. Microelectronic Engineering, 83(4-9), pp. 1159-1162. (doi: 10.1016/j.mee.2006.01.074)

Zhou, H., Elgaid, K., Wilkinson, C. and Thayne, I. (2006) Low-hydrogen-content silicon nitride deposited at room temperature by inductively coupled plasma deposition. Japanese Journal of Applied Physics, 45(Pt.1), pp. 8388-8392. (doi: 10.1143/JJAP.45.8388)

Elgaid, K., McLelland, H., Holland, M., Moran, D.A.J., Stanley, C.R. and Thayne, I.G. (2005) 50-nm T-gate metamorphic GaAs HEMTs with fT of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26(11), pp. 784-786. (doi: 10.1109/LED.2005.857716)

Cao, X. et al. (2005) Low damage sputter deposition of tungsten for decanano compound semiconductor transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 23(6), pp. 3138-3142. (doi: 10.1116/1.2127937)

Elgaid, K., McLelland, H., Holland, M., Moran, D., Stanley, C. and Thayne, I. (2005) 50-nm T-gate metamorphic GaAs HEMTs with f(T) of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26, pp. 784-786. (doi: 10.1109/LED.2005.857716)

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2005) Surface mass spctrometric analysis of SiCl4/SiF4/O-2 dry etch gate recessed 120 nm T-gate GaAs pHEMTs. Microelectronic Engineering, 78-79, pp. 233-238. (doi: 10.1016/j.mee.2004.12.032)

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C.R. and Thayne, I.G. (2004) Fabrication and performance of 50 nm T-gates for InP high electron mobility transistors. Microelectronic Engineering, 73-74, pp. 818-821. (doi: 10.1016/j.mee.2004.03.058)

Elgaid, K., Zhou, H., Wilkinson, C.D.W. and Thayne, I.G. (2004) Low temperature high density Si3N4 MIM capacitor technology for MMMIC and RF-MEMs applications. Microelectronic Engineering, 73-4, pp. 452-455. (doi: 10.1016/j.mee.2004.03.016)

Blaikie, R., Drysdale, T., Chong, H., Thayne, I. and Cumming, D. (2004) Wide-field-of-view photonic bandgap filters micromachined from silicon. Microelectronic Engineering, 73-4, pp. 357-361. (doi: 10.1016/j.mee.2004.02.069)

Moran, D.A.J., Boyd, E., Elgaid, K., McEwan, F., McLelland, H., Stanley, C.R. and Thayne, I.G. (2004) Self-aligned T-gate InP HEMT realisation through double delta doping and a non-annealed ohmic process. Microelectronic Engineering, 73-74, pp. 814-817. (doi: 10.1016/j.mee.2004.03.057)

Moran, D., Boyd, E., Elgaid, K., McEwan, F., McLelland, H., Stanley, C. and Thayne, I. (2004) Self-aligned T-gate InPHEMT realisation through double delta doping and a non-annealed ohmic process. Microelectronic Engineering, 73-4, pp. 814-817. (doi: 10.1016/j.mee.2004.03.057)

Thoms, S. , Macintyre, D.S., Moran, D. and Thayne, I. (2004) Imprint lithography issues in the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 22(6), pp. 3271-3274. (doi: 10.1116/1.1821504)

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2004) Impact of device geometry and doping strategy on linearity and RF performance in Si/SiGe MODFETs. Microelectronics Reliability, 44, pp. 1101-1107. (doi: 10.1016/j.microrel.2004.04.003)

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of ultrashort T gates using a PMMA/LOR/UVIII resist stack. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 3012-3016. (doi: 10.1116/1.1629292)

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D.S., Thoms, S., Stanley, C.R. and Thayne, I.G. (2003) Novel technologies for the realisation of GaAs pHEMTs with 120 nm self-aligned and nanoimprinted T-gates. Microelectronic Engineering, 67-89, pp. 769-774. (doi: 10.1016/S0167-9317(03)00137-0)

Cao, X. and Thayne, I. (2003) Novel high uniformity highly reproducible non-selective wet digital gate recess etch process for InPHEMTs. Microelectronic Engineering, 67-8, pp. 333-337. (doi: 10.1016/S0167-9317(03)00087-X)

Chen, Y., Macintyre, D., Boyd, E., Moran, D., Thayne, I. and Thoms, S. (2003) High electron mobility transistors fabricated by nanoimprint lithography. Microelectronic Engineering, 67-8, pp. 189-195. (doi: 10.1016/S0167-9317(03)00183-7)

Elgaid, K., McCloy, D. and Thayne, I. (2003) Micromachined SU8 negative resist for MMIC applications on low resistivity CMOS substrates. Microelectronic Engineering, 67-8, pp. 417-421. (doi: 10.1016/S0167-9317(03)00188-6)

Macintyre, D.S., Chen, Y., Gourlay, D., Boyd, E., Moran, D. , Cao, X., Elgaid, K., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Nanoimprint lithography process optimization for the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 2783-2787. (doi: 10.1116/1.1629719)

Chen, Y., Macintyre, D., Boyd, E., Moran, D. , Thayne, I. and Thoms, S. (2002) Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 20(6), pp. 2887-2890. (doi: 10.1116/1.1520564)

Kalna, K., Roy, S., Asenov, A., Elgaid, K. and Thayne, I. (2002) Scaling of pseudomorphic high electron mobility transistors to decanano dimensions. Solid-State Electronics, 46, pp. 631-638.

Lee, H., Loyo-Maldonado, V., Qiu, B., Lee, K., Shu, C., Pinches, S., Thayne, I., Bryce, A. and Marsh, J. (2002) Efficient direct locking of colliding pulse mode-locked lasers on semi-insulating substrate at 1.5 mu m. IEEE Photonics Technology Letters, 14, pp. 1049-1051.

Pellegrini, G. et al. (2002) Technology development of 3D detectors for high-energy physics and imaging. Nuclear Instruments and Methods in Physics Research. Section A: Accelerators, Spectrometers, Detectors, and Associated Equipment, 487(1-2), 19 -26. (doi: 10.1016/S0168-9002(02)00939-7)

Yanson, D., Street, M., McDougall, S., Thayne, I., Marsh, J. and Avrutin, E. (2002) Ultrafast harmonic mode-locking of monolithic compound-cavity laser diodes incorporating photonic-bandgap reflectors. IEEE Journal of Quantum Electronics, 38, pp. 1-11.

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2001) Effects of pressure and capping layer thickness on sub-micron T-gate recess etching of GaAs p-HEMTs by SiCl4/SiF4/O2 reactive ion etch. Microelectronic Engineering, 57-58, pp. 633-640. (doi: 10.1016/S0167-9317(01)00495-6)

Kalna, K., Asenov, A., Elgaid, K. and Thayne, I. (2001) Scaling of pHEMTs to decanano dimensions. VLSI Design, 13, pp. 435-439.

Reynolds, A., Chong, H., Thayne, I., de Maagt, P. and Arnold, J. (2001) Transmission response for in-plane and out of plane propagation for a 2D photonic crystal with a planar cavity. Synthetic Metals, 116, pp. 433-437.

Reynolds, A., Chong, H., Thayne, I., Arnold, J. and de Maagt, P. (2001) Analysis of membrane support structures for integrated antenna usage on two-dimensional photonic-bandgap structures. IEEE Transactions on Microwave Theory and Techniques, 49, pp. 1254-1261.

Thayne, I. (2001) Fabrication of on-wafer MMIC compatible integrated NiCr loads. Microelectronic Engineering, 57-58, pp. 801-806.

Yanson, D., Street, M., McDougall, S., Thayne, I., Marsh, J. and Avrutin, E. (2001) Terahertz repetition frequencies from harmonic mode-locked monolithic compound-cavity laser diodes. Applied Physics Letters, 78, pp. 3571-3573.

Young, P.R., McPherson, D.S., Chrisostomidis, C., Elgaid, K., Thayne, I.G. , Lucyszyn, S. and Robertson, I.D. (2001) Accurate non-uniform transmission line model and its application to the de-embedding of on-wafer measurements. IEE Proceedings: Microwaves Antennas and Propagation, 148(3), pp. 153-156. (doi: 10.1049/ip-map:20010402)

Yanson, D.A., Street, M.W., Avrutin, E.A., McDougall, S.D., Thayne, I.G. and Marsh, J.H. (2000) Passive harmonic modelocking in monolithic compound-cavity laser diodes. Electronics Letters, 36(23), pp. 1930-1931. (doi: 10.1049/el:20001376)

Elgaid, K., Li, X. , Williamson, F., McLelland, H., Ferguson, S.M., Holland, M.C., Beaumont, S.P. and Thayne, I.G. (1999) Optimisation of DC and RF performance of GaAs HEMT-based Schottky diodes. Electronics Letters, 35(19), pp. 1678-1679. (doi: 10.1049/el:19991104)

Ternent, G., Asenov, A., Thayne, I.G., MacIntyre, D.S., Thom, S. and Wilkinson, C.D.W. (1999) SiGe p-channel MOSFETs with tungsten gate. Electronics Letters, 35(5), pp. 430-431. (doi: 10.1049/el:19990305)

Ternent, G., Ferguson, S., Borsosfoldi, Z., Elgaid, K., Lohdi, T., Edgar, D., Wilkinson, C.D.W. and Thayne, I.G. (1999) Coplanar waveguide transmission lines and high Q inductors on CMOS grade silicon using photoresist and polyimide. Electronics Letters, 35(22), pp. 1957-1958. (doi: 10.1049/el:19991298)

Portnoi, E. L., Gorfinkel, V. B., Avrutin, E. A., Thayne, I. G. , Barrow, D. A., Marsh, J. H. and Luryi, S. (1995) Optoelectronic microwave-range frequency mixing in semiconductor lasers. IEEE Journal of Selected Topics in Quantum Electronics, 1(2), pp. 451-460. (doi: 10.1109/2944.401228)

Portnoi, E.L., Gorfinkel, V.B., Barrow, D.A., Thayne, I.G. , Avrutin, E.A. and Marsh, J.H. (1995) Semiconductor lasers as integrated optoelectronic up/down-converters. Electronics Letters, 31(4), pp. 289-290. (doi: 10.1049/el:19950164)

Book Sections

Hwang, C.J., Lok, L.B., Thayne, I.G. and Elgaid, K. (2009) A wide bandpass filter with defected ground structure for wide out-of-band suppression. In: APMC: 2009 Asia Pacific Microwave Conference, 7-10 December 2009, Singapore. IEEE: Piscataway, N.J., USA, pp. 2018-2021. ISBN 9781424428014 (doi: 10.1109/APMC.2009.5385299)

Hwang, C.J., McGregor, I., Oxland, R., Whyte, G., Thayne, I.G. and Elgaid, K. (2009) An ultra-low power OOK RF transceiver for wireless sensor networks. In: EuMC 09: European Microwave Conference, Rome, Italy, 29 Sept - 1 Oct 2009. IEEE Computer Society: Burlingame, USA, pp. 1323-1326. ISBN 9781424447480

Abuelmaatti, A., Thayne, I.G. and Abuelma'atti, M.T. (2008) Harmonic and IMD frequency components affecting nonlinear distortion with feed back in MOSFET amplifiers. In: IEEE Asia Pacific Conference on Circuits and Systems, 2008. APCCAS 2008. IEEE, pp. 1600-1603. ISBN 9781424423415 (doi: 10.1109/APCCAS.2008.4746341)

Abuelmaatti, A., Thayne, I.G. and Abuelma'atti, M.T. (2008) Linearization of table-based MOSFET model parameters for nonlinear quantification. In: Circuits and Systems, 2008. APCCAS 2008. IEEE Asia Pacific Conference. IEEE, pp. 1608-1611. ISBN 9781424423415 (doi: 10.1109/APCCAS.2008.4746343)

Hill, R.J.W., Moran, D.A.J. , Li, X. , Zhou, H., Macintyre, D.S., Thoms, S. , Asenov, A. and Thayne, I.G. (2008) Ino.75Gao.25As channel III–V MOSFETs with leading performance metrics. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418447)

Lok, L., Hwang, C.J., Chong, H.M.H., Elgaid, K. and Thayne, I.G. (2008) Measurement and modeling of CPW transmission lines and power dividers on electrically thick GaAs substrate to 220GHz. In: 33rd International Conference on Infrared, Millimeter and Terahertz Waves: 15-19 September 2008, Pasadena, CA, USA. IEEE Computer Society: Piscataway, N.J., USA, pp. 734-735. ISBN 9781424421190 (doi: 10.1109/ICIMW.2008.4665794)

Longo, P., Craven, A.J., Scott, J. , Holland, M. and Thayne, I.G. (2008) Elemental profiling of III-V MOSFET high-k dielectric gate stacks using EELS spectrum imaging. In: Cullis, A.G. and Midgley, P.A. (eds.) Microscopy of Semiconducting Materials 2007. Series: Springer Proceedings in Physics (120). Springer-Verlag: Berlin, Germany, pp. 317-320. ISBN 9781402086144

Thayne, I. G. , Elgaid, K. and Ternent, G. (2001) Devices and fabrication technology. In: Robertson, I. D. and Lucyszyn, S. (eds.) RFIC and MMIC Design and Technology. Series: IEE circuits, devices and systems series (13). IEE Press: London, UK, pp. 31-81. ISBN 9780852967867 (doi: Devices and fabrication technology)

Conference or Workshop Item

Al-Moathin, A., Smith, M. D., Thayne, I. , Kuball, M. and Li, C. (2022) Low Damage High Selectivity Cl2/N2/O2-Based Inductively Coupled Plasma Etching for GaN/AlGaN Heterostructures. UKNC Winter Meeting 2022, 05-06 Jan 2022. (Accepted for Publication)

Li, X. , Hemakumara, D., Fu, Y.-C., Moran, D. and Thayne, I. (2019) A Study of In-situ X-ray Photoelectron Spectroscopy Surface Analysis in Development of Atomic Layer Etch for GaN/AlGaN Based Power Device Fabrication. 11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology & Science (ISPlasma2019 / IC-PLANTS2019), Nagoya, Japan, 17-21 March 2019.

Li, X. , Reza, M., Steer, M., Gaetano, E.D., Sorel, M. , Thayne, I.G. , Lusk, D. and MacGregor, C. (2018) Etching Process for Producing Various Sloping Sidewall of III-V Antimonide-based Materials for LED/PD Applications. 62nd International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication (EIPBN), Puerto Rico, 29 May - 1 June 2018.

Li, X. , Zhou, H., Hemakumara, D., Cho, S.-J., Floros, K., Moran, D. and Thayne, I. (2018) A Study of In-Situ Auger Spectroscopic Surface Analysis in Development of Atomic Layer Etch for GaN/AlGaN Based Power Device Fabrication. 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma-Nano Technology & Science (ISPlasma2018 / IC-PLANTS2018), Nagoya, Japan, 4-8 March 2018.

Li, X. , Cho, S.-J., Floros, K., Hemakumara, D., Zhou, H., Guiney, I., Moran, D. , Humphreys, C. and Thayne, I.G. (2018) In-situ Auger Spectroscopy Analysis of an Atomic Layer Etching Process for GaN/AlGaN-based Power Device Fabrication. UKNC Winter Conference 2018, Manchester, UK, 10-11 Jan 2018.

Li, X. , Zhou, H., Flores, K., Cho, S.-J., Hemakumara, D., Moran, D. and Thayne, I. (2018) Optimization of Atomic Layer Etch Process for Fabrication of Dual Barrier GaN-Based Power Device Using In-Situ Auger Spectrometric Surface Analysis. AVS 18th International Conference on Atomic Layer Deposition (ALD 2018) and the 5th International Atomic Layer Etching Workshop (ALE 2018), Incheon, South Korea, 29 Jul-1 Aug 2018.

Millar, D.A.J., Li, X. , Peralagu, U. , Steer, M.J., Pavey, I.M., Gaspar, G., Schmidt, M., Hurley, P.K. and Thayne, I.G. (2018) High Aspect Ratio Junctionless InGaAs FinFETs Fabricated Using a Top-Down Approach. 2018 76th Device Research Conference (DRC), Santa Barbara, CA, USA, 24-27 Jun 2018. ISBN 9781538630280 (doi: 10.1109/DRC.2018.8442150)

Fu, Y.-C., Li, X. , Peralagu, U. , Millar, D., Steer, M., Zhou, H., Droopad, R. and Thayne, I.G. (2017) The Impact of In-situ Hydrogen Plasma Passivation Prior to ALD HfO2 Deposition on the Electrical Properties of ICP Etched P-type InGaAs (110) MOSCAPs. 48th IEEE Semiconductor Interface Specialists Conference (SISC 2017), San Diego, CA, USA, 6-9 Dec 2017.

Millar, D. et al. (2017) Electrical and Chemical Analysis of the In-situ H2 Plasma Cleaned InGaSb-Al2O3 Interface. 48th IEEE Semiconductor Interface Specialists Conference (SISC 2017), San Diego, CA, USA, 6 -9 Dec 2017.

Hurley, P.K., Monaghan, S., O'Connor, E., Caruso, E., Cherkaoui, K., Floyd, L., Povey, I. M., Millar, D. A. J., Peralagu, U. and Thayne, I. G. (2017) (Invited) The Inversion Behaviour of Narrow Band Gap Mos Systems: Experimental Observations, Physics Based Simulations and Applications. 232nd ECS Meeting, National Harbor, MD, USA, 01-05 Oct 2017.

Li, X. , Fu, Y.-C. and Thayne, I.G. (2017) A Process Development on Inductively Coupled Plasma Reactive Ion Etching in Cl2/BCl3 Chemistry for Fabricating GaN-based Vertical Nanowires. 43rd International Conference on Micro and Nanoengineering (MNE 2017), Braga, Portugal, 18-22 Sept 2017.

Hemakumara, D., Li, X. , Floros, K., Cho, S., Guiney, I., Moran, D. , Humphreys, C., O'Mahony, A., Knoops, H. and Thayne, I. G. (2017) 4x Reduction in Gan MOSCAP Flatband Voltage Hysteresis with an In-situ Deposited Sin Cap and Device Processing in a Cluster Tool. 12th International Conference on Nitride Semiconductors, Strasbourg, France, 24-28 July 2017.

Hemakumara, D., Li, X. , Cho, S., Floros, K., Guiney, I., Moran, D. , Humphreys, C., O'Mahony, A., Knoops, H. and Thayne, I.G. (2017) The Impact on GaN MOS Capacitor Performance of In‐situ Processing in a Clustered ALD/ICP/RIE Tool. AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Etching Workshop (ALE 2017), Denver, CO, USA, 15-18 Jul 2017.

Li, X. et al. (2017) Atomic layer etch processes developed in an ICP/RIE etching system for etching III-V compound semiconductor materials. AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Etching Workshop (ALE 2017), Denver, CO, USA, 15-18 Jul 2017.

Millar, D., Peralagu, U. , Li, X. , Fu, Y.-C., Gaspar, G., Hurley, P. and Thayne, I. (2017) Improving the electrical properties of the In0.3Ga0.7Sb-Al2O3 interface via in-situ H2 plasma and TMA exposure. 20th Conference on Insulating Films on Semiconductors (INFOS 2017), Potsdam, Germany, 27-30 Jun 2017.

Li, X. , Floros, K., Cho, S.-J., Hemakumara, D., Moran, D. and Thayne, I. (2017) Damage to Algan/Gan Power Device Materials from Cl2 and Ar Plasma Based Atomic Layer Etching and its Elimilation via a Low Temperature Rapid Thermal Annealing. 9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma-Nano Technology & Science (ISPlasma2017 / IC-PLANTS2017), Aichi, Japan, 1-5 March 2017.

Li, X. , Fu, Y.-C., Millar, D.A.J., Peralagu, U. , Steer, M. and Thayne, I.G. (2016) The Impact of an HBr/Ar Atomic Layer Etch (ALE) Process for InGaAs Vertical Nanowire Diameter Reduction on the Interface Between InGaAs and In-situ ALD Deposited HfO2. 47th IEEE Semiconductor Interface Specialists Conference (SISC 2016), San Diego, CA, USA, 8-10 Dec 2016.

Hurley, P. K. et al. (2016) Impedance Spectroscopy of Narrow Band Gap III-V MOS Systems. SINANO-III-V-MOS-Compose3 Workshop, Lausanne, Switzerland, 12 Sep 2016.

Cho, S.-J., Li, X. , Floros, K., Hamakumara, D., Ignatova, O. , Moran, D. , Humphreys, C.J. and Thayne, I. (2016) Low off-state Leakage Currents in AlGaN/GaN High Electron Mobility Transistors By Employing A Highly Stressed SiNx Surface Passivation Layer. 19th Workshop on Dielectrics in Microelectronics (WoDIM), Aci Castello, Catania, Italy, 27-30 Jun 2016.

Li, X. , Floros, K., Cho, S.-J., Hemakumara, D., Guiney, I., Moran, D. and Thayne, I. G. (2016) An Hbr/Ar Atomic Layer Etch Process for Precision Gate Recess Etching of Gan-Based Transistors. UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016.

Li, X. , Fu, Y.-C., Floros, K., Cho, S.-J., Hemakumara, D., Guiney, I., Moran, D. and Thayne, I. G. (2016) Realisation of Etching Indium-Included Materials in Atomic Layer Etch Process Via Repeated Cycling of Chloride Formation and its Plasma Removal for GaN-Based Power Device Fabrications. 42nd International Conference on Micro and Nano Engineering (MNE 2016), Vienna, Austria, 19-23 Sept 2016. (Unpublished)

Moran, D.A.J. et al. (2007) High Performance Enhancement Mode III-V MOSFETs. IBM Workshop on Advanced Oxides, Zurich, Switzerland, June 2007.

Li, X. , Zhou, H., Wilkinson, C. D.W. and Thayne, I. G. (2006) Optical Emission Spectrometry of Plasma in Low-Damage Sub-100 Nm Tungsten Gate Reactive Ion Etching Process for Compound Semiconductor Transistors. 6th International Conference on Reactive Plasmas and 23rd Symposium on Plasma Processing (ICRP-6/SPP-23), 24-27 Jan 2006. pp. 8364-8369.

Conference Proceedings

Thayne, I. , Li, X. , Millar, D., Fu, Y.-C. and Peralagu, U. (2017) Plasma Processing of III-V Materials for Energy Efficient Electronics Applications. In: Advanced Etch Technology for Nanopatterning VI, San Jose, CA, USA, 27 Feb - 01 Mar 2017, 101490R. (doi: 10.1117/12.2257863)

Benakaprasad, B., Eblabla, A., Li, X. , Thayne, I. , Wallis, D.J., Guiney, I., Humphreys, C. and Elgaid, K. (2017) Terahertz Microstrip Elevated Stack Antenna Technology on GaN-on-Low Resistivity Silicon Substrates for TMIC. In: 46th European Microwave Conference, London, 3-7 Oct 2016, (doi: 10.1109/EuMC.2016.7824367)

Millar, D., Peralagu, U. , Fu, Y.-C., Li, X. , Steer, M. and Thayne, I. (2016) Initial Investigation on the Impact of In Situ Hydrogen Plasma Exposure to the Interface Between Molecular Beam Epitaxially Grown P-Ga0.7In0.3Sb (100) and Thermal Atomic Layer Deposited (ALD) Al2O3. In: 19th Workshop on Dielectrics in Microelectronics (WoDIM), Aci Castello, Catania, Italy, 27-30 Jun 2016,

Benakaprasad, B., Eblabla, A., Li, X. , Thayne, I. , Wallis, D.J., Guiney, I., Humphreys, C. and Elgaid, K. (2016) Terahertz Microstrip Single Patch Antenna Technology on GaN-on-Low Resistivity Silicon Substrates for TMIC. In: IET Colloquium on Antennas, Wireless and Electromagnetics, Glasgow, UK, 26 May 2016, (Unpublished)

Benakaprasad, B., Eblabla, A., Li, X. , Thayne, I. , Wallis, D., Guiney, I., Humphreys, C. and Elgaid, K. (2016) Terahertz Monolithic Integrated Circuits (TMICs) Array Antenna Technology On GaN-on-Low Resistivity Silicon Substrates. In: 41st International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz 2016), Copenhagen, Denmark, 25-30 Sept 2016, (doi: 10.1109/IRMMW-THz.2016.7758488)

Eblabla, A., Li, X. , Thayne, I. , Wallis, D.J., Guiney, I. and Elgaid, K. (2016) MMIC-Compatible Microstrip Technology for GaN-HEMTs on Low Resistivity Silicon Substrate. In: International Workshop on Nitride Semiconductors (IWN 2016), Orlando, FL, USA, 2-7 Oct 2016, (Unpublished)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Ternent, G., Hemakumara, D., Wasige, E. , Moran, D.A.J. , Humphries, C.J. and Thayne, I.G. (2016) A Dual Barrier InAlN/AlGaN/GaN HEMT on Si Substrate with Pt Based Gates. In: 9th International Workshop on Nitride Semiconductors (IWN 2016), Orlando, FL, USA, 2-7 Oct 2016, (Unpublished)

Floros, K. et al. (2016) Electrical Characterisation of InAlN/AlGaN/GaN HEMT on Si Substrate with Varying InAlN Thickness. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Cho, S.-J., Guiney, I., Moran, D. and Thayne, I. G. (2016) Development of an Atomic Layer Etch Process Via Repeated Cycling of Chloride Formation in Chlorine Gas and its Argon Plasma Removal for Precision Nanometer Scale Thin Layer Etch in GaN-Based Power Device Fabrications. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Cho, S.-J., Guiney, I., Moran, D. and Thayne, I. G. (2016) An Atomic Layer Etch Process Based on a Cycled Procedure of Chlorination in Cl2 and Argon Plasma Removal of Chlorides for GaN Based Device Fabrication. In: 8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science (ISPlasma2016 / IC-PLANTS2016), Nagoya, Japan, 6-10 March 2016,

Li, X. , Fu, Y.-C., Floros, K., Cho, S.-J., Hemakumara, D., Guiney, I., Moran, D. and Thayne, I. G. (2016) Realisation of Etching Indium-Included Materials in Atomic Layer Etch Process Via Repeated Cycling of Chloride Formation and its Plasma Removal for GaN-Based Power Device Fabrications. In: UK Semiconductor Conference, Sheffield, UK, 6-7 July 2016, (Unpublished)

Fu, Y.-C., Peralagu, U. , Li, X. , Ignatova, O. , Millar, D. A. J., Steer, M., Droopad, R. and Thayne, I. (2015) First Demonstration of Cluster Tool Based ICP Etching of (100) and (110) InGaAs MOSCAPs Followed by In-Situ ALD Deposition of HfO2 Including Nitrogen and Hydrogen Plasma Passivation for Non-Planar III-V MOSFETs. In: 46th IEEE Semiconductor Interface Specialists Conference (SISC), Arlington, VA, USA, 02-05 Dec 2015,

Ding, Y., Meriggi, L., Steer, M., Fan, W., Bulashevich, K., Thayne, I. , MacGregor, C. and Sorel, M. (2015) Design, Simulations, and Optimizations of Mid-Infrared Multiple Quantum Well Leds. In: ICMAT2015 & IUMRS-ICA2015, Suntec, Singapore, 28 Jun - 3 Jul 2015,

Eblabla, A., Li, X. , Thayne, I. , Wallis, D. J., Guiney, I. and Elgaid, K. (2015) Effect Of AlN Spacer In The Layer Structure On High Rf Performance GaN-Based HEMTs On Low Resistivity Silicon At K-Band Application. In: 11th International Conference on Nitride Semiconductors (ICNS-11), Beijing, China, 30 Aug - 4 Sept 2015, (Unpublished)

Fu, Y.-C., Peralagu, U. , Ignatova, O. , Li, X. , Droopad, R., Thayne, I. , Lin, J., Povey, I., Monaghan, S. and Hurley, P. (2015) Energy-Band Structure of Atomic Layer Deposited Al2O3 & Sulphur Passivated Molecular Beam Epitaxially Grown (110) In0.53Ga0.47As Surfaces. In: 11th Conference on PhD Research in Microelectronics and Electronics (IEEE PRIME 2015), Glasgow, UK, 29 June - 2 July 2015,

Fu, Y.-C., Peralagu, U. , Ignatova, O. , Li, X. , Lin, J., Povey, I., Monaghan, S., Droopad, R., Hurley, P. and Thayne, I. (2015) Energy-band parameter of atomic layer deposited Al2O3 & sulphur passivated molecular beam epitaxially grown (110) In0.53Ga0.47As surfaces. In: 11th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), Glasgow, UK, 29 Jun - 02 Jul 2015, pp. 346-348. (doi: 10.1109/PRIME.2015.7251406)

Li, X. , Floros, K., Hemakumara, D., Moran, D. and Thayne, I. G. (2015) Realisation of Low Annealing Temperature and Low Resistance Ohmic Contacts forAlGaN/GaN-Based Power Devices Via SiH4 Inductively Coupled Plasma Treatment. In: UK Semiconductor Conference, Sheffield, UK, 1-2 July 2015,

Li, X. , Floros, K., Ternent, G., Al-Khalidi, A. , Wasige, E. and Thayne, I. G. (2015) Effect of SiH4 Inductively Coupled Plasma Surface Treatment On Low Temperature and Low Resistance Ohmic Contact for AlGaN/GaN-Based Power Device. In: 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma-Nano Technology & Science (ISPlasma2015 / IC-PLANTS2015), Nagoya, Japan, 26-31 March 2015,

Meriggi, L., Steer, M., Ding, Y., Samarelli, A., Thayne, I. , MacGregor, C., Ironside, C. and Sorel, M. (2015) Enhanced Performance of Plasmon-Assisted Resonant-Cavity Mid-IR AlxIn1−xSb LEDs. In: CLEO/Europe - EQEC 2015, Munich, Germany, 21-25 Jun 2015, p. 809.

Meriggi, L. , Steer, M. J., Ding, Y., Thayne, I. G. , MacGregor, C., Ironside, C. N. and Sorel, M. (2015) Development of Mid-Infrared Light-Emitting Diodes for Low-Power Optical Gas Sensors. In: 11th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), Glasgow, Scotland, 29 Jun - 02 Jul 2015, pp. 180-183. ISBN 9781479982295 (doi: 10.1109/PRIME.2015.7251364)

Peralagu, U. , Li, X. , Ignatova, O. , Steer, M., Povey, I., Hurley, P. and Thayne, I. (2014) Demonstration of III-V fins with vertical sidewalls using Cl2/CH4/H2/O2 dry etch chemistry in conjunction with digital etching for recovery of etch damage. In: 45th IEEE Semiconductor Interface Specialists Conference (SISC), San Diego, CA, USA, 10 - 13 Dec 2014,

Fu, Y.-C., Peralagu, U. , Lin, J., Povey, I., Li, X. , Ignatova, O. , Monaghan, S., Droopad, R., Hurley, P. and Thayne, I. (2014) The impact of forming gas annealing on the properties of interfaces between atomic layer deposited Al2O3 and sulphur passivated molecular beam epitaxially grown (110) p- and n-type In0.53Ga0.47As surfaces. In: 18th Workshop on Dielectrics in Microelectronics (WoDIM), Kinsale, Co Cork, Ireland, 9-11 Jun 2014,

Cao, M., Li, X. and Thayne, I. (2014) An Anisotropic Low Power, Low DC Bias, SF6/C4F8 Inductively Coupled Plasma Etch Process of Molybdenum with Critical Dimension of 30 nm Suitable for Compound Semiconductor Devices. In: 58th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN 2014), Washington, DC, USA, 27-30 May 2014,

Cao, M., Li, X. and Thayne, I. (2014) A low damage inductively coupled plasma etch process of molybdenum with critical dimension of 30 nm suitable for compound semiconductor devices. In: UK Semiconductors 2014, Sheffield, UK, 9-10 July 2014,

Cho, S.-J., Roberts, J.W., Li, X. , Ternent, G., Floros, K., Thayne, I. , Chalker, P. and Wasige, E. (2014) Effect of O2 plasma pre-treatment in Al2O3 passivation using atomic-layer-deposited on GaN based metal-oxide-semiconductor capacitor. In: UK Semiconductors 2014, Sheffield, UK, 9-10 Jul 2014, p. 184.

Ding, Y., Meriggi, L., Steer, M. J., Bulashevich, K., Thayne, I. G. , MacGregor, C., Sorel, M. and Ironside, C. (2014) An investigation of MWIR AlInSb LEDs based on double heterostructures and multiple quantum wells. In: 2014 Conference on Optoelectronic and Microelectronic Materials and Devices (COMMAD2014), Perth, Australia, 14-17 Dec 2014, pp. 124-126. ISBN 9781479968671 (doi: 10.1109/COMMAD.2014.7038668)

Ding, Y., Meriggi, L., Steer, M. J., Thayne, I. G. , MacGregor, C., Sorel, M. and Ironside, C. N. (2014) Investigation of mid-infrared AlInSb LEDs with an n-i-p structure. In: 2014 Conference on Optoelectronic and Microelectronic Materials and Devices (COMMAD2014), Perth, Western Australia, 14-17 Dec 2014,

Khalid, A. , Thoms, S. , Macintyre, D., Thayne, I.G. and Cumming, D.R.S. (2014) Fabrication of submicron planar Gunn diode. In: 26th International Conference on Indium Phosphide and Related Materials, Montpelier, France, 11-15 May 2014, (doi: 10.1109/ICIPRM.2014.6880542)

Li, X. , Ternent, G., Al-Khalidi, A. , Floros, K., Wasige, E. and Thayne, I. G. (2014) Low Temperature Ohmic Contacts to AlGaN/GaN HFETs on Si Substrates Using SiCl4 Based RIE Recess Etching. In: UK Semiconductors 2014, Sheffield, UK, 9-10 Jul 2014, p. 176.

Li, X. , Ternent, G., Al-Khalidi, A. , Floros, K., Wasige, E. and Thayne, I. (2014) Low temperature Ohmic contacts to AlGaN/GaN HFETs on Si substrates using SiCl4based RIE recess etching. In: UK Semiconductors 2014, Sheffield, UK, 9-10 July 2014,

Li, X. , Ignatova, O. , Cao, M., Peralagu, U. , Steer, M., Mirza, M. , Zhou, H. and Thayne, I. (2013) 10 nm vertical In0.53Ga0.47As line etching process for III-V MOSFET fabrication by using inductively coupled plasma (ICP) etcher in Cl2/CH4/H2 chemistry. In: 26th International Microprocesses and Nanotechnology Conference (MNC), Royton Sapporo, Hokkaido, Japan, 5-8 Nov 2013,

Brown, R., Al-Khalidi, A. , Macfarlane, D., Taking, S., Ternent, G., Thayne, I. and Wasige, E. (2013) A normally-off AlGaN/GaN HEMT technology. In: UK Nitrides Consortium, Sheffield, UK, Jul 2013,

Brown, R., Al-Khalidi, A. , Ternent, G., Thayne, I. and Wasige, E. (2013) A normally off AlGaN/GaN MOSHEMT technology. In: 22nd European Workshop on Heterostructure Technology (HETECH), Glasgow, UK, 9-11 Sep 2013,

Cao, M., Li, X. , Ferguson, S., Thoms, S. , Macintyre, D. and Thayne, I. (2013) A simple silicon compatible 40 nm electroplated Copper T‐gate process. In: MNE2013: 39th International Conference on Micro and Nano Engineering, London, UK, 16-19 Sept. 2013,

Chang, S.W. et al. (2013) InAs N-MOSFETs with record performance of Ion = 600 μA/μm at Ioff = 100 nA/μm (Vd = 0.5 V). In: IEEE International Electronic Devices Meeting (IEDM2013), Washington, D.C., 9-11 Dec 2013, 16.1.1-16.1.4. (doi: 10.1109/IEDM.2013.6724639)

Ignatova, O. et al. (2013) Towards vertical sidewalls in III-V FinFETs: dry etch processing and its associated damage on the electrical and physical properties of (100)-oriented InGaAs. In: 44th IEEE Semiconductor Interface Specialists Conference (SISC), Arlington, VA, USA, 5-7 Dec 2013, pp. 167-168.

Peralagu, U. , Ignatova, O. , Li, X. , Steer, M., Povey, I.M., Hurley, P.K. and Thayne, I.G. (2013) Optimisation of sidewalls in III-V FinFETs. In: UK Semiconductors 2013, Sheffield, UK, 3 - 4 Jul 2013,

Peralagu, U. , Povey, I.M., Hurley, P.K., Droopad, R. and Thayne, I.G. (2013) An investigation of (NH4)2S passivation on the electrical, and interfacial properties of the Al2O3/GaSb system for p-type and n-type GaSb layers. In: European Materials Research Society (EMRS 2013) Spring Meeting, Strasbourg, France, 27 - 31 May 2013,

Li, X. , Zhou, H., Hill, R. J.W., Holland, M. and Thayne, I. G. (2011) A low damage etching process of sub-100 nm platinum gate line for III-V MOSFET fabrication and the optical emission spectrometry of the inductively coupled plasma of SF6/C4F8. In: ISPlasma 2011: 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya, Japan, 6-9 March 2011,

Oxland, R.K., Li, X. , Ferguson, S., Bentley, S. and Thayne, I. (2010) Copper–plated 50 nm T–gate fabrication. In: 54th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN 2010), Anchorage, AK, USA, 1-4 Jun 2010, pp. 15-24.

Li, X. , Bentley, S., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2010) A low damage fully self-aligned gate-last process for fabricating sub-100 nm gate length enhancement mode GaAs MOSFETs. In: 54th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication, Anchorage, USA, June 2010,

Longo, P., Jansen, W., Merckling, C., Penaud, J., Caymax, M., Thayne, I. and Craven, A. (2010) A TEM Nanoanalytical Investigation of Pd/Ge Ohmic Contacts for the Miniaturization and Optimization of n-InGaAs MOSFET Devices. In: Electron Microscopy and Analysis Group Conference, Sheffield, England, 8-11 September 2009, 012037. (doi: 10.1088/1742-6596/241/1/012037)

Melitz, W., Shen, J., Lee, S., Bentley, S., Macintyre, D., Holland, M., Thayne, I. and Kummel, A. (2010) Potential mapping of UHV cleaved functional III-V MOSCAPs with Kelvin probe force microscopy. In: J: Materials and Devices for Beyond CMOS Scaling, San Francisco, USA, 6 April 2010,

Peralagu, U. , Holland, M.C., Paterson, G.W. and Thayne, I.G. (2010) The impact of strain engineering on hole mobility of In(x)Ga(1-x)As channels for III-V pMOSFET. In: TECHCON 2010, Austin, TX, USA, 13 - 14 September 2010,

Peralagu, U. , Holland, M.C., Paterson, G.W. and Thayne, I.G. (2010) Strain additivity and its impact on the hole mobility of InxGa1-xAs channels for III-V pMOSFETs. In: 19th European Workshop on Heterostructure Technology, Crete, Greece, 18-20 Oct 2010,

Hill, R.J.W. et al. (2009) Deep sub-micron and self-aligned flatband III–V MOSFETs. In: Device Research Conference, 2009 (DRC 2009), University Park, PA, USA, 22-24 Jun 2009, pp. 251-252. (doi: 10.1109/DRC.2009.5354900)

Khalid, A. , Holland, M.C., Stanley, C.R., Thayne, I.G. , Cumming, D.S.R. , Pilgrim, N. and Dunn, G. (2009) Gunn oscillations in planar heterostructure devices. In: 4th Annual EMRS DTC Technical Conference, Edinburgh, UK, 7-8 Jul 2009,

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) 100 nm gate length enhancement mode GaAs MOSFETs fabricated by a fully self-aligned process. In: UK Compound Semiconductor Conference 2009, Sheffield, UK, 1-2 July 2009,

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) Fully self-aligned process for fabricating 100 nm gate length enhancement mode GaAs MOSFETs. In: EIPBN 2009: The 53rd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, Marco Island, Florida, USA, 24-29 May 2009,

Lok, L.B., Hwang, C.J., Chong, H.M.H., Thayne, I.G. and Elgaid, K. (2009) A W-band MMIC vector modulator utilizing tandem couplers and 50nm MHEMTs. In: European Microwave Conference, Rome, Italy, 29 Sept - 1 Oct 2009, pp. 1251-1254.

McGregor, I., Lok, L.B., Hwang, C.J., Oxland, R., Whyte, G., Thayne, I.G. and Elgaid, K. (2009) Low complexity, low power, 10 GHz super-regenerative transceiver. In: APMC: 2009 Asia Pacific Microwave Conference, Singapore, 7-10 December 2009, pp. 587-590.

Hill, R., Moran, D. , Li, X. , Macintyre, D.S., Thoms, S. , Asenov, A. , Droopad, R., Passlack, M. and Thayne, I. (2008) III-V MOSFETs: a possible solution for sub-22 nm CMOS nFETs. In: 17th European Heterostructure Technology Workshop, Venice, Italy, Nov 2008,

Li, X. , Zhou, H., Hill, R., Holland, M. and Thayne, I.G. (2008) Low damage inductively coupled plasma etching of sub-100 nm platinum gate line in SF6/C4F8 for III-V MOSFET fabrication process. In: 34th International Conference on Micro- and Nano-Engineering (MNE 2008), Athens, Greece, 15-18 September 2008,

Kalna, K. et al. (2008) III-V MOSFETs for digital applications with silicon co-integration. In: 7th International Conference on Advanced Semiconductor Devices and Microsystems, Smolenice, Slovakia, 12-16 October 2008, pp. 39-46. ISBN 9781424423255 (doi: 10.1109/ASDAM.2008.4743354)

Whyte, G., Darbari, F., McGregor, I., Glover, I. and Thayne, I.G. (2008) Different feeding geometries for planar elliptical UWB dipoles, and the excitation of leakage current. In: 2008 European Microwave Conference (EuMC), Amsterdam, The Netherlands, 27-31 Oct 2008, pp. 1664-1667. (doi: 10.1109/EUMC.2008.4751722)

Passlack, M. et al. (2007) High mobility III-V MOSFETs for RF and digital applications. In: IEEE International Electron Devices Meeting (IEDM 2007), Washington DC, USA, 10-12 December 2007, pp. 621-624. ISBN 9781424415083 (doi: 10.1109/IEDM.2007.4419016)

Hill, R. J. W., Holland, M., Li, X. , Macintyre, D., Moran, D. , Stanley, C. R., Thoms, S. , Zhou, H. and Thayne, I. G. (2007) Recent Developments in III-V MOSFETs Technology. In: 15th International Symposium Nanostructures: Physics and Technology, Novosibirsk, Russia, 25-29 June 2007, pp. 134-136. ISBN 9785936340222

Hill, R.J.W., Holland, M.C., Li, X. , Macintyre, D.S., Moran, D.A.J. , Stanley, C.R., Thoms, S. and Thayne, I.G. (2007) Enhancement Mode, Implant Free, Metal Gate, High-K Dielectric, III-V MOSFETs. In: 2007 8th European Workshop on Ultimate Integration of Silicon (ULIS), Leuven, Belgium, 15-16 Mar 2007, pp. 129-132.

Khalid, A. , Holland, M.C., Stanley, C.R., Thayne, I.G. and Cumming, D.S.R. (2007) Gunn Oscillations in Planar Heterostructure Devices. In: DTC conference, July 2007,

Moran, D. A. J. et al. (2007) III-V Enhancement Mode MOSFETs for Digital Applications. In: IBM MRC Oxide Workshop, Zurich, Switzerland, 25-27 June 2007,

Moran, D. A. J. et al. (2007) High Performance Enhancement-Mode III-V MOSFETs. In: UK Compound Semiconductor Conference 2007, Sheffield, UK, 2007,

Moran, D.A.J. et al. (2007) Sub-micron, Metal Gate, High-к Dielectric, Implant-free, Enhancement-mode III-V MOSFETs. In: 37th European Solid State Device Research Conference (ESSDERC 2007), Munich, Germany, 11-13 September 2007, pp. 466-469. ISBN 9781424411245 (doi: 10.1109/ESSDERC.2007.4430979)

Passlack, M. et al. (2007) High mobility III-V MOSFET Technology. In: CS MANTECH Conference, Austin, TX, USA, 14-17 May 2007,

Passlack, M. et al. (2007) High Mobility III-V MOSFET Technology. In: 7th Topical Workshop on Heterostructure Microelectronics (TWHM 2007), Chiba, Japan, 21-24 Aug 2007,

Rajagopalan, K. et al. (2007) Enhancement Mode n-MOSFET with High-κ Dielectric on GaAs Substrate. In: IEEE 65th Annual Device Research Conference, South Bend, Indiana, USA, 18-20 June 2007, pp. 205-206. ISBN 9781424411023 (doi: 10.1109/DRC.2007.4373719)

Thayne, I. G. et al. (2007) High Performance Enhancement Mode III-V MOSFETs for Silicon Co-Integration. In: Silicon Nanoelectronics Workshop, Kyoto, Japan, 10-11 June 2007,

Thayne, I.G. et al. (2007) Recent Progress in III-V MOSFETs. In: UK Condensed Matter and Material Physics Conference, Leicester, UK, April 2007,

Abuelmaatti, A., Thayne, I., McGregor, I. and Wasige, E. (2006) A new implementation for RF SiCMOS transistor model using SDD for quantifying individual contribution to distortion from transistor's nonlinear parameters. In: Asia Pacific Microwave Conference, Yokohama, Japan,

Elgaid, K., Holland, M., McLelland, H., Moran, D., Thoms, S., Stanley, C. and Thayne, I. (2006) 50nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: Indium Phosphide & Related Materials, Princeton, USA, Paper TuB2.

Elgaid, K., Thayne, I., Whyte, G., Martens, J. and Culver, D. (2006) Parasitic moding influences on coplanar waveguide passive components at G-band frequency. In: European Microwave Conference, Manchester, UK,

Hill, R.J.W., Li, X. , Moran, D.A.J. , Zhou, H. and Thayne, I.G. (2006) A Low Damage Subtractive Ohmic Contact Process for III-V Mosfets. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Holland, M., Stanley, C., Reid, W., Thayne, I., Paterson, G. and Long, A. (2006) Ga2O3 grown on GaAs by MBE for GAAs MOSFETs. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Holland, M., Stanley, C., Reid, W., Thayne, I., Paterson, G. and Long, A. (2006) Ga2O3 grown on GaAs by MBE for MOSFETs. In: North American Molecular Bean Epitaxy Conference, North Carolina, USA,

Holland, M., Stanley, C., Reid, W., Thayne, I., Paterson, G., Long, A., Longo, P., Scott, J. and Craven, A. (2006) GdGaO a gate dielectric for GaAs MOSFETs. In: North American Molecular Bean Epitaxy Conference, North Carolina, USA,

Holland, M., Stanley, C., Reid, W., Thayne, I., Paterson, G., Long, A., Longo, P., Scott, J. and Craven, A. (2006) GdGaO a gate dielectric for GaAs MOSFETs. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Kalna, K., Hill, R., Wilson, J., Moran, D., Long, A., Asenov, A. and Thayne, I. (2006) Monte Carlo simulation of sub-30 nm high indium implant free III-V MOSFETs for low power digital applications. In: UK III-V Compound Semiconductors 2006, Sheffield, UK, D-0-3.

Kalna, K., Wilson, J., Moran, D., Hill, R., Long, A., Droopad, R., Passlack, M., Thayne, I. and Asenov, A. (2006) MC simulation of high performance InGaAs nano-MOSFETs for low power CMOS applications. In: IEEE 2006 Silicon Nanoelectronics Workshop, Honolulu, p. 13.

Li, X., Zhou, H., Hill, R., Wilkinson, C. and Thayne, I. (2006) Dry etching of a device quality high-k GaxGdyOz oxide in CH4/H2-O2 chemistry for the fabrication of III-V MOSFETs. In: 32nd International Conference on Micro-and Nano-Engineering 2006, Barcelona, Spain,

Li, X. , Hill, R., Zhou, H., Wilkinson, C.D.W., Holland, M. and Thayne, I.G. (2006) GaxGdyOz Dry Etching Processes for the Fabrication of III-V MOSFET. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Li, X. , Hill, R., Zhou, H., Wilkinson, C.D.W. and Thayne, I.G. (2006) A low damage RIE SiN sidewall spacer process for self-aligned sub-100nm III-V MOSFETs. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Li, X. , Zhou, H., Cao, X., Wilkinson, C.D.W. and Thayne, I.G. (2006) Low damage dry etching processes for the fabrication of compound semiconductor based transistors with sub-100nm tungsten gates. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Longo, P., Scott, J., Hill, R., Moran, D., Craven, A. and Thayne, I. (2006) Elemental mapping of III-V MOSFET structures using energy filtered transmission electron microscopy. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

McGregor, I., Wasige, E. and Thayne, I. (2006) Sub milli-watt 2.4GHz super regenerative transceiver with ultra low duty cycle. In: Asia Pacific Microwave Conference, Yokohama, Japan, WEOF-26.

McGregor, I., Whyte, G., Elgaid, K., Wasige, E. and Thayne, I. (2006) A 400 micro W Tx/380 microW Rx 2.4GHz super-regenerative GaAs transceiver. In: European Microwave Conference, Manchester, UK, pp. 1523-1525.

Moran, D., McLelland, H., Elgaid, K., Stanley, C. and Thayne, I. (2006) Scaling of self-aligned T-gate InGaAs/InAlAs HEMT technology. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Scott, J., Longo, P., Holland, M., Stanley, C., Craven, A. and Thayne, I. (2006) Elemental profiling of III-V MOSFET dielectric stacks using scanning transmission electron microscopy with electron energy loss spectroscopy. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Thayne, I., Elgaid, K., Holland, M., McLelland, H., Moran, D.A.J., Thoms, S. and Stanley, C. (2006) 50 nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: 2006 International Conference on Indium Phosphide and Related Materials, Princeton, New Jersey, USA, 7-11 May 2006, pp. 181-184. ISBN 0780395581 (doi: 10.1109/ICIPRM.2006.1634143)

Thayne, I.G. et al. (2006) III-V MOSFETs for Digital Applications: An Overview. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Wilson, J., Paterson, G., Moran, D., Hill, R., Thayne, I. and Long, A. (2006) III-V MOS systems - charge control and transport. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Dardari, F., Stewart, R., McGregor, I., Whyte, G. and Thayne, I. (2005) Channel estimation for short range wireless sensor networks. In: 2nd IEE/~EURASIP Conference, Southhampton, UK, pp. 25-28.

Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2005) Low noise W-band MMMIC amplifier using 50nm InP technology for millimeterwave receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 523-525. ISBN 1092-8669

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I. (2005) Low noise high performance 50nm T-GATE metamorphic HEMT with cut-off frequency FTOF 440Ghz for millimeterwave imaging receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 141-143. ISBN 1092-8669

Elgaid, K., Zhou, H., Wilkinson, C. and Thayne, I. (2005) Room temperature deposited Si3N4 characterization and applications in MMICs. In: 8th International symposium on Silicon Nitride and Silicon dioxide thin insulating films and emerging dielectrics, Quebec, Canada,

Elgaid, K., McLelland, H., Stanley, C.R. and Thayne, I.G. (2005) Low noise W-band MMMIC amplifier using 50 nm InP technology for millimeterwave receivers applications. In: International Conference on Indium Phosphide and Related Materials, Piscataway, 8-12 May 2005, pp. 523-525. ISBN 0780388917 (doi: 10.1109/ICIPRM.2005.1517548)

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I.G. (2005) Low noise high performance 50nm T-gate metamorphic HEMT with cut-off frequency fT of 440 GHz for millimeterwave imaging receivers applications. In: IEEE International Conference on Indium Phosphide and Related Materials, 2005, Glasgow, Scotland, 8-12 May 2005, pp. 141-143. ISBN 0780388917 (doi: 10.1109/ICIPRM.2005.1517439)

Hettak, K., Stubbs, M., Elgaid, K. and Thayne, I. (2005) A compact high performance semi-lumped low pass filter fabricated with a standard airbridge process. In: European Microwave Conference, Paris, France,

Hettak, K., Stubbs, M., Elgaid, K. and Thayne, I. (2005) Design and characterisation of elevated coplanar waveguide and thin film microstrip structures for mm-wave applications. In: European Microwave Conference, Paris, France,

Kalna, K., Elgaid, K., Thayne, I. and Asenov, A. (2005) Modelling of InPHEMTs with high indium content channels. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 192-195. ISBN 1092-8669

Li, X., Cao, X., Zhou, H., Wilkinson, C., Thoms, S., Macintyre, D., Holland, M. and Thayne, I. (2005) A low damage RIE process for the fabrication of cmpound semiconductor based transistors wtih sub-100nm tungsten gates. In: 31st International Conference on Micro and Nano-Engineering 2005, Vienna, Austria,

McGregor, I., Maclean, D., Wasige, E. and Thayne, I. (2005) Using return ratios to desing microwave oscillators. In: 10th High Frequency Postgraduate Student Colloquium, Leeds, UK, pp. 73-76.

Moran, D., Cao, X., Elgaid, K., Boyd, E., Chen, Y., Thoms, S., McLelland, H., Stanley, C., Holland, M. and Thayne, I. (2005) Sub 100nm III-V HEMT technology: Approaching the Terahertz Regime. In: International Workshop on Terahertz Technology, Osaka, Japan,

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

Boyd, E., Thoms, S., Moran, D., Elgaid, K., Cao, X., Holland, M., Stanley, C. and Thayne, I. (2004) Fabrication of very high performance 50nm T-gate metamorphic GaAs HEMT's with exceptional uniformity. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Boyd, E., Zhou, H., McLelland, H., Moran, D.A.J., Thoms, S. and Thayne, I.G. (2004) Fabrication of 30nm T-gate high electron mobility transistors using a bi-Layer of PMMA and UVIII. In: 2004 IEEE Conference on Optoelectronic and Microelectronic Materials and Devices, Brisbane, Australia, 8-10 December 2004, pp. 25-28. ISBN 0780388208 (doi: 10.1109/COMMAD.2004.1577483)

Burns, G., Thayne, I. and Arnold, J. (2004) Improvement of Planar Antenna efficiency when intergrated with a millimetre-wave photonic circuit. In: 34th European Microwave Conference, Amsterdam, The Netherlands,

Burns, G., Thayne, I. and Arnold, J. (2004) Improvement of Planar Antenna efficiency when intergrated with a millimetre-wave photonic circuit. In: 2004 International symposium on Antennas and propagation, Sendai, Japan,

Burns, G., Thayne, I. and Arnold, J. (2004) Integration of millimeter-wave planar antennas with photonic crystal structures. In: 27th ESA Antenna Technology workshop on Innovative Periodic Antennas, Seville, Spain,

Cao, X., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) High performance 50nm T-gate In0.52Al0.48As/In0.7Ga0.3As psuedomorphic high electron mobility transistors. In: 16th International Conference on Indium phosphide and Related Materials, Kagoshima, Japan,

Cao, X., Thoms, S., Stanley, C. and Thayne, I. (2004) High yield, high uniformity, high performance 50nm T-gate In0.52Al0.48As/In0.7Ga0.3As. In: 7th International Conference on Solid State and Intergrated Circuit Technology, Beijing, China,

Elgaid, K., McLelland, H., Cao, X., Boyd, E., Moran, D., Thoms, S., Zhou, H., Wilkinson, C., Stanley, C. and Thayne, I. (2004) An array-based design methodology for the realisation of 94GHz MMMIC amplifiers. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Elgaid, K., McLelland, H., Cao, X. and Thayne, I. (2004) Integration of a novel, high quality Si3N4 metal insulator metal (MIM) capacitors deposited by (ICP-CVD) at room temperature with 50nm T-gate metamorphic HEMTS to realise monolithic millimetre-wave integrated circuits (MMMICs). In: 16th International Conference on Indium phosphide and Related Materials, Kagoshima, Japan,

Elgaid, K., Zhou, H., Wilkinson, C. and Thayne, I. (2004) Low temperature high density highly uniform Si3N4 technology for passive and active devices in MMMIC applications. In: GaAs Mantech 2004, Tampa, USA,

Johnson, N., Khokhar, A., Elgaid, K., Thayne, I., Drysdale, T. and Cumming, D. (2004) Tools for metamaterials applications from GHz to optical frequencies. In: First Workshop of the Metamorphose, Lille-Louvain-la-Neuve, Belgium, France,

Li, X., Elgaid, K., McLelland, H. and Thayne, I. (2004) Surface mass spectrometric analysis of SiCl4/SiF4/O2 dry-etch gate recessed 120nm T-gate HEMTs. In: Microelectronic and Nanoelectronic Engineering 2004, Rotterdam, The Netherlands,

McGregor, I., Whyte, G., Wasige, E. and Thayne, I. (2004) UWB test system. In: 7th Analog Signal Processing Conference, Oxford, UK,

Moran, D.A.J., Boyd, E., McEwan, F., McLelland, H., Stanley, C.R. and Thayne, I.G. (2004) Sub 100nm T-Gate uniformity in InP HEMT technology. In: International Conference on Compound Semiconductor Manufacturing Technology, Miami, Florida, USA, 3-6 May 2004,

Moran, D., Boyd, E., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) 50nm T-gate lattice-matched InP HEMTs with fT of 430GHz using non-annealed ohmic contact process. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Smith, P. and Thayne, I. (2004) An array-based design methodology for 10GHz SiGe LC oscillators. In: 34th European Microwave Conference, Amsterdam, The Netherlands,

Thayne, I., Cao, X., Moran, D.A.J., Boyd, E., Elgaid, K., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2004) Very high performance 50 nm T-gate III-V HEMTs enabled by robust nanofabrication technologies. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany, 16-19 August 2004, pp. 95-97. ISBN 0780385365 (doi: 10.1109/NANO.2004.1392261)

Thoms, S., Macintyre, D., Elgaid, K., Stanley, C. and Thayne, I. (2004) The use of imprint lithography to fabricate high electron mobility transistors. In: International Conference on Electron, Photon, Ion beams and Nanofabrication, San Diego, USA,

Blaikie, R., Drysdale, T., Chong, H., Thayne, I. and Cumming, D. (2003) Wide field of view photonic bandgap filters micromachined from silicon. In: Microelectronic and Nanoelectronic Engineering 2003, Cambridge, UK,

Blaikie, R., Drysdale, T., Cumming, D., Chong, H. and Thayne, I. (2003) Wide field of view, narrow bandwidth filters using photonic bandgap metamaterials. In: Progress in Electromagnetics, Honolulu, Hawaii,

Burns, G. and Thayne, I. (2003) Integration of millimetre-wave planar antennas with photonic crystal structures. In: IEEE AP-S Topical Conference on Wireless Communications Technology, Honolulu,

Cao, X., Boyd, E., McLelland, H., Thoms, S., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate AlGaAs/InGaAs pseudomorphic high electron mobility transistors with fT of 200GHz. In: European Microwave Conference, Munich, Germany,

Cao, X. and Thayne, I. (2003) High uniformity highly reproducible non-selective wet gate recess etch process for InP HEMT's. In: GaAs MANTECH, Scottsdale, USA,

Cao, X., Thoms, S., Holland, M., Stanley, C. and Thayne, I. (2003) High performance 50nm T-gate In0.25AlAs/In0.53GaAs Metamorphic high electron mobility transistors. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal,

Cao, X., Thoms, S., Holland, M., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate In0.52AlAs/In0.53GaAs metamorphic high electron mobility transistors. In: European Microwave Conference, Munich, Germany,

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C. and Thayne, I. (2003) Fabrication and performance of 50nm T-gate for high electron mobility transistors. In: Microelectronic and Nanoelectronic Engineering 2003, Cambridge, UK,

Chen, Y., Macintyre, D., Gourlay, D., Boyd, E., Moran, D., Cao, X., Thayne, I. and Thoms, S. (2003) The fabrication of 50nm T-gates using a PMMA.LOR.UVIII resist stack. In: EIPBN 2003 - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA,

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of Ultrashort T Gates Using a PMMA/LOR/UVIII Resist Stack. In: - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA, 27-30 May 2003,

Elgaid, K. and Thayne, I. (2003) Passvie and active devices using Si and SiGe for MMIC applications. In: 3rd ESA Workshop on mm-wave Technology, Helsinki, Finland,

Elgaid, K., Zhou, H., Wilkinson, C. and Thayne, I. (2003) Low temperature high density Si3N4 MIM capacitors technology for MMIC and RF-MEMs applications. In: Microelectronic and Nanoelectronic Engineering, Cambridge, UK,

Li, X. , Elgaid, K., McLelland, H. and Thayne, I. G. (2003) A Novel Single Step Sol-Gel Process for Silica on Silicon PLC's. In: 14th International Conference on Integrated Optics and Optical Fibre Communication, Rimini, Italy, 22-24 Sept 2003,

Moran, D., Kalna, K., Elgaid, K., McEwan, F., McLelland, H., Zhuang, L., Thayne, I., Stanley, C. and Asenov, A. (2003) Self-aligned 0.12micron T-gate InGaAs/InAlAs HEMT technology utilizing a non-annealed contact strategy. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal, pp. 315-318.

Moran, D. A. J. , Kalna, K., Boyd, E., McEwan, F., McLelland, H., Zhuang, L. L., Stanley, C. R., Asenov, A. and Thayne, I. (2003) Self-aligned 0.12mm T-gate In.53Ga.47As/In.52Al.48As HEMT Technology Utilising a Non Annealed Ohmic Contact Strategy. In: ESSDERC '03 : 33rd Conference on European Solid-State Device Research, Estoril, Portugal, 16-18 September 2003, pp. 315-318. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256877)

Thayne, I. et al. (2003) Advanced III-V HEMT MMIC Technologies for Millemeter-Wave Applications. In: European Microwave Week 2003, Munich, Germany, 6-10 Oct 2003,

Thayne, I. (2003) Sub-100nm III-V and Si/SiGe HEMT MMIC technologies for millimetre-wave applications beyond 100GHz. In: European Microwave Conference, Munich, Germany,

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications. In: 14th Workshop on Modeling and Simulation of Electron Devices, Barcelona, Spain, pp. 41-44.

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications. In: IEEE Conference on Electron devices and solid state circuits, Hong Kong, pp. 331-344.

Yang, L., Asenov, A., Borici, M., Watling, J. R., Barker, J. R., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimizations of sub-100 nm Si/SiGe MODFETs for high linearity RF applications. In: IEEE Conference on Electron Devices and Solid-State Circuits, Kowloon, Hong Kong, 16-18 December 2003, pp. 331-334. ISBN 0780377494 (doi: 10.1109/EDSSC.2003.1283543)

Boyd, E., Moran, D., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) 120nm gate length e-beam and nanoimprint T-gate GaAs pHEMTs itilising non-annealed ohmic contacts. In: International Symposium on Compound Semiconductors, Lausanne, Switzerland,

Boyd, E., Moran, D. , McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S. , Stanley, C. R. and Thayne, I. G. (2002) 120nm Gate Length E-Beam and Nanoimprint T-Gate GaAs pHEMTs Utilizing Non-Annealed Ohmic Contacts. In: Compound Semiconductors 2002, Lausanne, Switzerland, 7-10 Oct 2002, ISBN 9780750309424

Burns, G., Chong, H., Edgar, D., Ross, A., Elgaid, K., McLelland, H., Ferguson, S., McEwan, F. and Thayne, I. (2002) Millimetre-wave high frequency photonic crystal antennas. In: IEEE 2002 High Frequency Postgraduate Student Colloquium, London, UK,

Cao, X. and Thayne, I. (2002) Novel high uniformity highly reproducible non-selective wet recess etch for InP HEMTs. In: Microelectronic and Nanoelectronic Engineering 2002, Lugano, Switzerland,

Edgar, D. L. et al. (2002) Millimetre-wave Performance of InAlAs/InGaAs HEMTs Using a UVIII/PMMA Bilayer for 70nm T-Gate Fabrication. In: European Microwave Week 2002, Milan, Italy, 23-27 Sept 2002,

Edgar, D. et al. (2002) Millimeter-wave performance of In/AlAs/InGaAs HEMT's using a UVIII/PMMA bilayer for 70nm T-gate fabrication. In: European Microwave Conference, Milan, Italy,

Elgaid, K., McCloy, D., Edgar, D. and Thayne, I. (2002) Coplanar waveguide and spiral inductors for MMIC applications on low resistivity CMOS grade silicon using micromachined SU8 negative resist. In: European Microwave Conference, Milan, Italy,

Elgaid, K., McCloy, D., Ferguson, S. and Thayne, I. (2002) Coplanar waveguide and spiral inductors for MMIC applications on low resistivity CMOS grade silicon using micromachined SU8 negative resist. In: Asia Pacific Conference, Kyoto, Japan,

Elgaid, K., McCloy, D. and Thayne, I. (2002) Micromachined SU8 negative resist for MMIC applications on low resistivity CMOS substrate. In: Microelectronic and Nanoelectronic Engineering 2002, Lugano, Switzerland,

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) Novel technologies for the realisation of GaAs pHEMTs wtih 20nm self-aligned and nanoimprinted T-gates. In: Micro- and NanoEngineering 2002, Lugano, Switzerland, ISBN 0167-9317

Smith, P. and Thayne, I. (2002) 7.6GHz and 10GHz VC)s in 0.6mu m SiGe bipolar process using an array-based approach. In: IEEE 2002 High Frequency Postgraduate Student Colloquium, London, UK,

Arnold, J., Reynolds, A., Chong, H., Thayne, I., Bonar, J., Jubber, M. and De,Maagt,, P. (2001) Silicon PBG crystals for 100GHz and 500GHz. In: 24th ESTEC Antenna Workshop on Innovative Periodic Antennas, Noordwijk, The Netherlands,

Chongcheawchamnan, M., Nam, S., Robertson, I., Elgaid, K. and Thayne, I. (2001) Ultrawideband characterisation of CPW GaAs monolithic 60 GHz couplers using overlaid structures. In: Asia pacific microwave conference, Taipei,

Yanson, D.A., Street, M.W., McDougall, S.D., Thayne, I.G. , Marsh, J.H. and Avrutin, E.A. (2001) Terahertz Frequency Mode-Locking of Monolithic Compound-Cavity Laser Diodes Incorporating Photonic-Bandgap Reflectors. In: 2001 International Topical Meeting on Microwave Photonics, Long Beach, CA, USA, 7-9 Jan 2002, pp. 25-28. ISBN 0780370031 (doi: 10.1109/MWP.2002.981787)

Yip, J., Collier, R., Jastrebski, A., Edgar, D., Elgaid, K., Thayne, I. and Li, D. (2001) Substrate-modes in doubled-layered coplanar waveguide. In: European Microwave Conference, London,

Collier, R.J. et al. (2000) A Study of High Frequency Performance of Coplanar Waveguide as a Function of Substrate Thickness. In: 30th European Microwave Conference, Paris, France, 02-05 Oct 2000, ISBN 9780862132125 (doi: 10.1109/EUMA.2000.338733)

Ternent, G., Edger, D.L., McLelland, H., Williamson, F., Ferguson, S., Kaya, S., Wilkinson, C.D.W., Thayne, I.G. , Fobelets, K. and Hampson, J. (2000) Metal gate strained silicon MOSFETs for microwave integrated circuits. In: 8th IEEE International Symposium on High Performance Electron Devices for Microwave and Optoelectronic Applications, Glasgow, UK, 13-14 November 2000, pp. 38-43. (doi: 10.1109/EDMO.2000.919024)

Kalna, K., Asenov, A., Elgaid, K. and Thayne, I. (2000) Effect of impact ionization in scaled pHEMTs. In: 8th IEEE International Symposium on High Performance Electron Devices for Microwave and Optoelectronic Applications., Glasgow, UK, 13-14 November 2000, pp. 236-241. ISBN 078036550X

Kalna, K., Asenov, A., Elgaid, K. and Thayne, I. (2000) Performance of aggressively scaled pseudomorphic HEMTs: a monte carlo simulation study. In: Third International EuroConference on Advanced Semiconductor Devices and Microsystems., Smolenice Castle, Slovakia, 16-18 October 2000, pp. 55-58. ISBN 0780359399

Kalna, K., Roy, S., Asenov, A., Elgaid, K. and Thayne, I. (2000) RF analysis of aggressively scaled pHEMTs. In: 30th European Solid-State Device Research Conference., Cork, Ireland, 11-13 September 2000, pp. 156-159. ISBN 2863322486

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2000) Effects of Pressure and Capping Layer Thickness on Sub-Micron T-Gate Recess Etching of GaAs pHEMTs by SiCl4/SiF4/O2 Reactive Ion Etch. In: 26th International Conference on Micro- and Nano-Engineering, Jena, Germany, 18-21 Sept 2000,

Edgar, D.L., Elgaid, K., Williamson, F., Ferguson, S., Ross, A., Doherty, F. , Thayne, I.G. , Taylor, M.R.S. and Beaumont, S.P. (1999) W-band Performance of Coplanar Waveguide on Thinned Substrates. In: 29th European Microwave Conference, Munich, Germany, 05-07 Oct 1999, pp. 363-366. ISBN 9780862131524 (doi: 10.1109/EUMA.1999.338569)

Edgar, D.L., Elgaid, K., Williamson, F., Ross, A., McLelland, H., Ferguson, S., Doherty, F. , Thayne, I.G. , Taylor, M.R.S. and Beaumont, S.P. (1999) W-band on Wafer Measurement of Active and Passive Devices. In: IEE Colloquium on Microwave Measurements: Current Techniques and Trends, London, UK, 23 Feb 1999, 2/1-2/6. (doi: 10.1049/ic:19990025)

Borsosfoldi, Z., Webster, D.R., Thayne, I.G., Asenov, A., Haigh, D.G. and Beaumont, S.P. (1997) Ultra-linear pseudomorphic HEMTs for wireless communications: A simulation study. In: IEEE International Symposium on Compound Semiconductors, San Diego, California, 8-11 September 1997, pp. 475-478. ISBN 0750305568 (doi: 10.1109/ISCS.1998.711718)

Patents

Aberdeen University - Research and Innovation; University Court of the University of Glasgow (2009) Semiconductor device for generating an oscillating voltage. .

Freescale Semiconductor, Inc. (2009) III-V MOSFET Fabrication and Device (Fabrication process of e.g. group III-V MOSFET for nano complementary metal oxide semiconductor application, involves heat treating metal contact structure to produce alloy region within semiconductor substrate). .

This list was generated on Thu Apr 18 20:19:43 2024 BST.

Research datasets