Dr Gary Ternent

  • Affiliate (School of Engineering)

email: Gary.Ternent@glasgow.ac.uk

R305 Level 3, Eng -Micro & Nanotechnology, 70 University Avenue, Glasgow G12 8LT

Import to contacts

Publications

List by: Type | Date

Jump to: 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2004 | 2002 | 2001 | 2000 | 1999
Number of items: 32.

2019

Sinclair, M. et al. (2019) High-Q Si3N4 Ring Resonators for Locking 780nm GaAs-Based Distributed Feedback Laser. In: 2019 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC), Munich, Germany, 23-27 Jun 2019, ISBN 9781728104690 (doi: 10.1109/CLEOE-EQEC.2019.8872725)

Gallacher, K. , Sinclair, M. , Millar, R.W. , Sharp, O., Mirando, F., Ternent, G., Mills, G., Casey, B. and Paul, D.J. (2019) Integrated DFB Lasers on Si3N4 Photonic Platform for Chip-Scale Atomic Systems. CLEO: Science and Innovations 2019, San Jose, CA, USA, 05-10 May 2019. ISBN 9781943580576 (doi: 10.1364/CLEO_SI.2019.STu4O.7)

2018

Saeed, A. , Ding, Y., Ternent, G., Casey, B., Hamilton, C.J., Hempler, N., Malcolm, G.P.A., Maker, G.T., Sorel, M. and Paul, D.J. (2018) Narrow Linewidth 780 nm Distributed Feedback Lasers for Cold Atom Quantum Technology. SPIE Photonics West 2018, San Francisco, CA, USA, 27 Jan - 01 Feb 2018.

Gallacher, K. , Millar, R. W. , Paul, D. J. , Mirando, F., Ternent, G., Mills, G. and Casey, B. (2018) Distributed Feedback Lasers Operating at 780 nm Wavelength Integrated on Si Substrates for Chip-scale Atomic Systems. In: 2018 IEEE 15th International Conference on Group IV Photonics (GFP), Cancun, Mexico, 29-31 Aug 2018, pp. 67-68. ISBN 9781538653616 (doi: 10.1109/GROUP4.2018.8478720)

2017

Ding, Y., Ternent, G., Saeed, A. , Hamilton, C. J., Hempler, N., Malcolm, G. P.A., Maker, G. T., Sorel, M. and Paul, D. J. (2017) GaAs-based Distributed Feedback Laser at 780 nm for 87Rb Cold Atom Quantum Technology. In: CLEO/Europe - EQEC 2017, Munich, Germany, 25-29 Jun 2017, ISBN 9781509067367 (doi: 10.1109/CLEOE-EQEC.2017.8086371)

2016

Lei, H., Stevens, B.J., Fry, P.W., Babazadeh, N., Ternent, G., Childs, D. and Groom, K.M. (2016) A GaAs-based self-aligned stripe distributed feedback laser. Semiconductor Science and Technology, 34(8), 085001. (doi: 10.1088/0268-1242/31/8/085001)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Ternent, G., Hemakumara, D., Wasige, E. , Moran, D.A.J. , Humphries, C.J. and Thayne, I.G. (2016) A Dual Barrier InAlN/AlGaN/GaN HEMT on Si Substrate with Pt Based Gates. In: 9th International Workshop on Nitride Semiconductors (IWN 2016), Orlando, FL, USA, 2-7 Oct 2016, (Unpublished)

Floros, K. et al. (2016) Electrical Characterisation of InAlN/AlGaN/GaN HEMT on Si Substrate with Varying InAlN Thickness. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

2015

Cho, S.J., Roberts, J., Guiney, I., Li, X. , Ternent, G., Floros, K., Humphreys, C.J., Chalker, P. and Thayne, I.G. (2015) A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor. Microelectronic Engineering, 147, pp. 277-280. (doi: 10.1016/j.mee.2015.04.067)

Taylor, R. J.E. et al. (2015) Coherently coupled photonic-crystal surface-emitting laser array. IEEE Journal of Selected Topics in Quantum Electronics, 21(6), 4900307. (doi: 10.1109/JSTQE.2015.2417998)

Taylor, R.J.E., Childs, D.T.D. , Ivanov, P., Stevens, B.J., Babazadeh, N., Crombie, A.J., Ternent, G., Thoms, S. , Zhou, H. and Hogg, R.A. (2015) Electronic control of coherence in a two-dimensional array of photonic crystal surface emitting lasers. Scientific Reports, 5, 13203. (doi: 10.1038/srep13203) (PMID:26289621) (PMCID:PMC4542471)

Li, X. , Floros, K., Ternent, G., Al-Khalidi, A. , Wasige, E. and Thayne, I. G. (2015) Effect of SiH4 Inductively Coupled Plasma Surface Treatment On Low Temperature and Low Resistance Ohmic Contact for AlGaN/GaN-Based Power Device. In: 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma-Nano Technology & Science (ISPlasma2015 / IC-PLANTS2015), Nagoya, Japan, 26-31 March 2015,

2014

Brown, R., Macfarlane, D., Al-Khalidi, A. , Li, X. , Ternent, G., Zhou, H., Thayne, I. and Wasige, E. (2014) A sub-critical barrier thickness normally-off AlGaN/GaN MOS-HEMT. IEEE Electron Device Letters, 35(9), pp. 906-908. (doi: 10.1109/LED.2014.2334394)

Brown, R., Al-Khalidi, A. , Macfarlane, D., Taking, S., Ternent, G., Thayne, I. and Wasige, E. (2014) Novel high performance AlGaN/GaN based enhancement-mode metal-oxide semiconductor high electron mobility transistor. Physica Status Solidi C, 11(3-4), pp. 844-847. (doi: 10.1002/pssc.201300179)

Cho, S.-J., Roberts, J.W., Li, X. , Ternent, G., Floros, K., Thayne, I. , Chalker, P. and Wasige, E. (2014) Effect of O2 plasma pre-treatment in Al2O3 passivation using atomic-layer-deposited on GaN based metal-oxide-semiconductor capacitor. In: UK Semiconductors 2014, Sheffield, UK, 9-10 Jul 2014, p. 184.

Li, X. , Ternent, G., Al-Khalidi, A. , Floros, K., Wasige, E. and Thayne, I. G. (2014) Low Temperature Ohmic Contacts to AlGaN/GaN HFETs on Si Substrates Using SiCl4 Based RIE Recess Etching. In: UK Semiconductors 2014, Sheffield, UK, 9-10 Jul 2014, p. 176.

Li, X. , Ternent, G., Al-Khalidi, A. , Floros, K., Wasige, E. and Thayne, I. (2014) Low temperature Ohmic contacts to AlGaN/GaN HFETs on Si substrates using SiCl4based RIE recess etching. In: UK Semiconductors 2014, Sheffield, UK, 9-10 July 2014,

2013

Brown, R., Al-Khalidi, A. , Macfarlane, D., Taking, S., Ternent, G., Thayne, I. and Wasige, E. (2013) A normally-off AlGaN/GaN HEMT technology. In: UK Nitrides Consortium, Sheffield, UK, Jul 2013,

Brown, R., Al-Khalidi, A. , Ternent, G., Thayne, I. and Wasige, E. (2013) A normally off AlGaN/GaN MOSHEMT technology. In: 22nd European Workshop on Heterostructure Technology (HETECH), Glasgow, UK, 9-11 Sep 2013,

2012

Mirza, M. M. , Zhou, H., Velha, P., Li, X. , Docherty, K. E., Samarelli, A., Ternent, G. and Paul, D. J. (2012) Nanofabrication of high aspect ratio (∼50:1) sub-10 nm silicon nanowires using inductively coupled plasma etching. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 30(6), 06FF02. (doi: 10.1116/1.4755835)

Mirza, M.M. , Velha, P., Ternent, G., Zhou, H.P., Docherty, K.E. and Paul, D.J. (2012) Silicon nanowire devices with widths below 5nm. In: 12th IEEE Conference on Nanotechnology, Birmingham, UK, 20-23 Aug 2012, (doi: 10.1109/NANO.2012.6322005)

Mirza, M. M. , Zhou, H., Velha, P., Li, X. , Docherty, K. E., Samarelli, A., Ternent, G. and Paul, D. J. (2012) Nanofabrication of high aspect ratio (˜50:1) sub-10 nm silicon nanowires using inductively coupled plasma etching. In: EIPBN 2012: The 56th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, Waikoloa,HI USA, 29 May - 01 June 2012,

Ternent, G., Mirza, M.M. , Missous, M. and Paul, D. (2012) Scaling resonant tunnelling diodes and nanowires using SPICE modelling to optimise nanoscale performance. In: 12th IEEE Conference on Nanotechnology, Birmingham, UK, 20-23 Aug 2012, (doi: 10.1109/NANO.2012.6322050)

Ternent, G. and Paul, D. (2012) Si/SiGe tunnelling static random access memories. ECS Transactions, 50(9), pp. 987-990.

Ternent, G. and Paul, D. (2012) SPICE modeling of the scaling of resonant tunneling diodes and the effects of sidewall leakage. IEEE Transactions on Electron Devices, 59(12), pp. 3555-3560. (doi: 10.1109/TED.2012.2219867)

2004

McDougall, S.D., Qiu, B.C., Ternent, G., Yanson, D.A., Loyo-Maldonado, V., Kowalski, O.P. and Marsh, J.H. (2004) Monolithic Integration of InGaAs/InAIGaAs-based semiconductor optical amplifieers and 10 Gb/s broadband electro-absorption modulators using quantum well intermixing technology. In: 2004 International Conference on Indium Phosphide and Related Materials, Kagoshima, Japan, 31 May-4 June 2004, pp. 403-406. ISBN 0780385950 (doi: 10.1109/ICIPRM.2004.1442741)

2002

Qiu, B.C., Ternent, G., Loyo Maldonado, V., McDougall, S.D. and Marsh, J.H. (2002) Component Design and Fabrication of a Monolithically Integrated Polarisation Insensitive 2x2 Optical Packet Switch in InP. In: 15th Annual Meeting of the IEEE Lasers and Electro-Optics Society, Glasgow, Scotland, 10-14 Nov 2002, pp. 41-42. ISBN 0780375009 (doi: 10.1109/LEOS.2002.1133908)

Qiu, B., Ternent, G., McDougall, S. and Marsh, J. (2002) Monolithic integration of 2*2 crosspoint switches in InGaAs-InAlGaAs multiple quantum wells using quantum well intermixing. In: Proceedings of 2002.IEEE/LEOS.Workshop on Fibre.and Optical.Passive.Components.Cat., Glasgow, Uk, pp. 163-167.

2001

Thayne, I. G. , Elgaid, K. and Ternent, G. (2001) Devices and fabrication technology. In: Robertson, I. D. and Lucyszyn, S. (eds.) RFIC and MMIC Design and Technology. Series: IEE circuits, devices and systems series (13). IEE Press: London, UK, pp. 31-81. ISBN 9780852967867 (doi: Devices and fabrication technology)

2000

Ternent, G., Edger, D.L., McLelland, H., Williamson, F., Ferguson, S., Kaya, S., Wilkinson, C.D.W., Thayne, I.G. , Fobelets, K. and Hampson, J. (2000) Metal gate strained silicon MOSFETs for microwave integrated circuits. In: 8th IEEE International Symposium on High Performance Electron Devices for Microwave and Optoelectronic Applications, Glasgow, UK, 13-14 November 2000, pp. 38-43. (doi: 10.1109/EDMO.2000.919024)

1999

Ternent, G., Asenov, A., Thayne, I.G., MacIntyre, D.S., Thom, S. and Wilkinson, C.D.W. (1999) SiGe p-channel MOSFETs with tungsten gate. Electronics Letters, 35(5), pp. 430-431. (doi: 10.1049/el:19990305)

Ternent, G., Ferguson, S., Borsosfoldi, Z., Elgaid, K., Lohdi, T., Edgar, D., Wilkinson, C.D.W. and Thayne, I.G. (1999) Coplanar waveguide transmission lines and high Q inductors on CMOS grade silicon using photoresist and polyimide. Electronics Letters, 35(22), pp. 1957-1958. (doi: 10.1049/el:19991298)

This list was generated on Fri Apr 19 16:38:07 2024 BST.
Number of items: 32.

Articles

Lei, H., Stevens, B.J., Fry, P.W., Babazadeh, N., Ternent, G., Childs, D. and Groom, K.M. (2016) A GaAs-based self-aligned stripe distributed feedback laser. Semiconductor Science and Technology, 34(8), 085001. (doi: 10.1088/0268-1242/31/8/085001)

Cho, S.J., Roberts, J., Guiney, I., Li, X. , Ternent, G., Floros, K., Humphreys, C.J., Chalker, P. and Thayne, I.G. (2015) A study of the impact of in-situ argon plasma treatment before atomic layer deposition of Al2O3 on GaN based metal oxide semiconductor capacitor. Microelectronic Engineering, 147, pp. 277-280. (doi: 10.1016/j.mee.2015.04.067)

Taylor, R. J.E. et al. (2015) Coherently coupled photonic-crystal surface-emitting laser array. IEEE Journal of Selected Topics in Quantum Electronics, 21(6), 4900307. (doi: 10.1109/JSTQE.2015.2417998)

Taylor, R.J.E., Childs, D.T.D. , Ivanov, P., Stevens, B.J., Babazadeh, N., Crombie, A.J., Ternent, G., Thoms, S. , Zhou, H. and Hogg, R.A. (2015) Electronic control of coherence in a two-dimensional array of photonic crystal surface emitting lasers. Scientific Reports, 5, 13203. (doi: 10.1038/srep13203) (PMID:26289621) (PMCID:PMC4542471)

Brown, R., Macfarlane, D., Al-Khalidi, A. , Li, X. , Ternent, G., Zhou, H., Thayne, I. and Wasige, E. (2014) A sub-critical barrier thickness normally-off AlGaN/GaN MOS-HEMT. IEEE Electron Device Letters, 35(9), pp. 906-908. (doi: 10.1109/LED.2014.2334394)

Brown, R., Al-Khalidi, A. , Macfarlane, D., Taking, S., Ternent, G., Thayne, I. and Wasige, E. (2014) Novel high performance AlGaN/GaN based enhancement-mode metal-oxide semiconductor high electron mobility transistor. Physica Status Solidi C, 11(3-4), pp. 844-847. (doi: 10.1002/pssc.201300179)

Mirza, M. M. , Zhou, H., Velha, P., Li, X. , Docherty, K. E., Samarelli, A., Ternent, G. and Paul, D. J. (2012) Nanofabrication of high aspect ratio (∼50:1) sub-10 nm silicon nanowires using inductively coupled plasma etching. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 30(6), 06FF02. (doi: 10.1116/1.4755835)

Ternent, G. and Paul, D. (2012) Si/SiGe tunnelling static random access memories. ECS Transactions, 50(9), pp. 987-990.

Ternent, G. and Paul, D. (2012) SPICE modeling of the scaling of resonant tunneling diodes and the effects of sidewall leakage. IEEE Transactions on Electron Devices, 59(12), pp. 3555-3560. (doi: 10.1109/TED.2012.2219867)

Ternent, G., Asenov, A., Thayne, I.G., MacIntyre, D.S., Thom, S. and Wilkinson, C.D.W. (1999) SiGe p-channel MOSFETs with tungsten gate. Electronics Letters, 35(5), pp. 430-431. (doi: 10.1049/el:19990305)

Ternent, G., Ferguson, S., Borsosfoldi, Z., Elgaid, K., Lohdi, T., Edgar, D., Wilkinson, C.D.W. and Thayne, I.G. (1999) Coplanar waveguide transmission lines and high Q inductors on CMOS grade silicon using photoresist and polyimide. Electronics Letters, 35(22), pp. 1957-1958. (doi: 10.1049/el:19991298)

Book Sections

Thayne, I. G. , Elgaid, K. and Ternent, G. (2001) Devices and fabrication technology. In: Robertson, I. D. and Lucyszyn, S. (eds.) RFIC and MMIC Design and Technology. Series: IEE circuits, devices and systems series (13). IEE Press: London, UK, pp. 31-81. ISBN 9780852967867 (doi: Devices and fabrication technology)

Conference or Workshop Item

Gallacher, K. , Sinclair, M. , Millar, R.W. , Sharp, O., Mirando, F., Ternent, G., Mills, G., Casey, B. and Paul, D.J. (2019) Integrated DFB Lasers on Si3N4 Photonic Platform for Chip-Scale Atomic Systems. CLEO: Science and Innovations 2019, San Jose, CA, USA, 05-10 May 2019. ISBN 9781943580576 (doi: 10.1364/CLEO_SI.2019.STu4O.7)

Saeed, A. , Ding, Y., Ternent, G., Casey, B., Hamilton, C.J., Hempler, N., Malcolm, G.P.A., Maker, G.T., Sorel, M. and Paul, D.J. (2018) Narrow Linewidth 780 nm Distributed Feedback Lasers for Cold Atom Quantum Technology. SPIE Photonics West 2018, San Francisco, CA, USA, 27 Jan - 01 Feb 2018.

Conference Proceedings

Sinclair, M. et al. (2019) High-Q Si3N4 Ring Resonators for Locking 780nm GaAs-Based Distributed Feedback Laser. In: 2019 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC), Munich, Germany, 23-27 Jun 2019, ISBN 9781728104690 (doi: 10.1109/CLEOE-EQEC.2019.8872725)

Gallacher, K. , Millar, R. W. , Paul, D. J. , Mirando, F., Ternent, G., Mills, G. and Casey, B. (2018) Distributed Feedback Lasers Operating at 780 nm Wavelength Integrated on Si Substrates for Chip-scale Atomic Systems. In: 2018 IEEE 15th International Conference on Group IV Photonics (GFP), Cancun, Mexico, 29-31 Aug 2018, pp. 67-68. ISBN 9781538653616 (doi: 10.1109/GROUP4.2018.8478720)

Ding, Y., Ternent, G., Saeed, A. , Hamilton, C. J., Hempler, N., Malcolm, G. P.A., Maker, G. T., Sorel, M. and Paul, D. J. (2017) GaAs-based Distributed Feedback Laser at 780 nm for 87Rb Cold Atom Quantum Technology. In: CLEO/Europe - EQEC 2017, Munich, Germany, 25-29 Jun 2017, ISBN 9781509067367 (doi: 10.1109/CLEOE-EQEC.2017.8086371)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Ternent, G., Hemakumara, D., Wasige, E. , Moran, D.A.J. , Humphries, C.J. and Thayne, I.G. (2016) A Dual Barrier InAlN/AlGaN/GaN HEMT on Si Substrate with Pt Based Gates. In: 9th International Workshop on Nitride Semiconductors (IWN 2016), Orlando, FL, USA, 2-7 Oct 2016, (Unpublished)

Floros, K. et al. (2016) Electrical Characterisation of InAlN/AlGaN/GaN HEMT on Si Substrate with Varying InAlN Thickness. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Ternent, G., Al-Khalidi, A. , Wasige, E. and Thayne, I. G. (2015) Effect of SiH4 Inductively Coupled Plasma Surface Treatment On Low Temperature and Low Resistance Ohmic Contact for AlGaN/GaN-Based Power Device. In: 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma-Nano Technology & Science (ISPlasma2015 / IC-PLANTS2015), Nagoya, Japan, 26-31 March 2015,

Cho, S.-J., Roberts, J.W., Li, X. , Ternent, G., Floros, K., Thayne, I. , Chalker, P. and Wasige, E. (2014) Effect of O2 plasma pre-treatment in Al2O3 passivation using atomic-layer-deposited on GaN based metal-oxide-semiconductor capacitor. In: UK Semiconductors 2014, Sheffield, UK, 9-10 Jul 2014, p. 184.

Li, X. , Ternent, G., Al-Khalidi, A. , Floros, K., Wasige, E. and Thayne, I. G. (2014) Low Temperature Ohmic Contacts to AlGaN/GaN HFETs on Si Substrates Using SiCl4 Based RIE Recess Etching. In: UK Semiconductors 2014, Sheffield, UK, 9-10 Jul 2014, p. 176.

Li, X. , Ternent, G., Al-Khalidi, A. , Floros, K., Wasige, E. and Thayne, I. (2014) Low temperature Ohmic contacts to AlGaN/GaN HFETs on Si substrates using SiCl4based RIE recess etching. In: UK Semiconductors 2014, Sheffield, UK, 9-10 July 2014,

Brown, R., Al-Khalidi, A. , Macfarlane, D., Taking, S., Ternent, G., Thayne, I. and Wasige, E. (2013) A normally-off AlGaN/GaN HEMT technology. In: UK Nitrides Consortium, Sheffield, UK, Jul 2013,

Brown, R., Al-Khalidi, A. , Ternent, G., Thayne, I. and Wasige, E. (2013) A normally off AlGaN/GaN MOSHEMT technology. In: 22nd European Workshop on Heterostructure Technology (HETECH), Glasgow, UK, 9-11 Sep 2013,

Mirza, M.M. , Velha, P., Ternent, G., Zhou, H.P., Docherty, K.E. and Paul, D.J. (2012) Silicon nanowire devices with widths below 5nm. In: 12th IEEE Conference on Nanotechnology, Birmingham, UK, 20-23 Aug 2012, (doi: 10.1109/NANO.2012.6322005)

Mirza, M. M. , Zhou, H., Velha, P., Li, X. , Docherty, K. E., Samarelli, A., Ternent, G. and Paul, D. J. (2012) Nanofabrication of high aspect ratio (˜50:1) sub-10 nm silicon nanowires using inductively coupled plasma etching. In: EIPBN 2012: The 56th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, Waikoloa,HI USA, 29 May - 01 June 2012,

Ternent, G., Mirza, M.M. , Missous, M. and Paul, D. (2012) Scaling resonant tunnelling diodes and nanowires using SPICE modelling to optimise nanoscale performance. In: 12th IEEE Conference on Nanotechnology, Birmingham, UK, 20-23 Aug 2012, (doi: 10.1109/NANO.2012.6322050)

McDougall, S.D., Qiu, B.C., Ternent, G., Yanson, D.A., Loyo-Maldonado, V., Kowalski, O.P. and Marsh, J.H. (2004) Monolithic Integration of InGaAs/InAIGaAs-based semiconductor optical amplifieers and 10 Gb/s broadband electro-absorption modulators using quantum well intermixing technology. In: 2004 International Conference on Indium Phosphide and Related Materials, Kagoshima, Japan, 31 May-4 June 2004, pp. 403-406. ISBN 0780385950 (doi: 10.1109/ICIPRM.2004.1442741)

Qiu, B.C., Ternent, G., Loyo Maldonado, V., McDougall, S.D. and Marsh, J.H. (2002) Component Design and Fabrication of a Monolithically Integrated Polarisation Insensitive 2x2 Optical Packet Switch in InP. In: 15th Annual Meeting of the IEEE Lasers and Electro-Optics Society, Glasgow, Scotland, 10-14 Nov 2002, pp. 41-42. ISBN 0780375009 (doi: 10.1109/LEOS.2002.1133908)

Qiu, B., Ternent, G., McDougall, S. and Marsh, J. (2002) Monolithic integration of 2*2 crosspoint switches in InGaAs-InAlGaAs multiple quantum wells using quantum well intermixing. In: Proceedings of 2002.IEEE/LEOS.Workshop on Fibre.and Optical.Passive.Components.Cat., Glasgow, Uk, pp. 163-167.

Ternent, G., Edger, D.L., McLelland, H., Williamson, F., Ferguson, S., Kaya, S., Wilkinson, C.D.W., Thayne, I.G. , Fobelets, K. and Hampson, J. (2000) Metal gate strained silicon MOSFETs for microwave integrated circuits. In: 8th IEEE International Symposium on High Performance Electron Devices for Microwave and Optoelectronic Applications, Glasgow, UK, 13-14 November 2000, pp. 38-43. (doi: 10.1109/EDMO.2000.919024)

This list was generated on Fri Apr 19 16:38:07 2024 BST.