Publications by the group

2024

Kumar, N., Aleksandrov, P., Gao, Y., Macdonald, C., García, C. P., Georgiev, V. (2024) Combinations of analytical and machine learning methods in a single simulation framework for amphoteric molecules detection. IEEE Sensors Letters, 8, (doi: 10.1109/LSENS.2024.3408101)

Kumar, P., Kumar, N., Dixit, A., Bagga, N., Dasgupta, S., Georgiev, V. (2024) Steep-subthreshold bilayer tunnel field effect transistor based efficient pH sensing: performance characterisation and optimization. IEEE Sensors Letters, (doi: 10.1109/LSENS.2024.3419581)

Kumar, N., Dhar, R. P., El Maiss, J., Georgiev, V., García, C. P. (2024) Discovery of amphoteric fingerprints of amino acids with field-effect transistors. IEEE Access, (doi: 10.1109/ACCESS.2024.3411168)

Kumar, P., Kumar, N., Dixit, A., Bagga, N., Dasgupta, S., Georgiev, V. (2024) Low-voltage feedback field effect transistor based ion-sensing: a novel and detailed investigation for energy-efficient pH sensor. IEEE Sensors Letters, 8, (doi: 10.1109/LSENS.2024.3403052)

Shashank, M., Liu, F., Shakthivel, D., Rai, B., Georgiev, V. (2024) Molecular dynamics simulation based study to analyse the properties of entrapped water between gold and graphene 2D interface. Nanoscale Advances, 6, pp. 2371-2379. (doi: 10.1039/D3NA00878A)

Shukla, R. P., Bomer, J. G., Wijnperle, D., Kumar, N., El Maiss, J., Balakrishanan, D., Singh, A. C., Georgiev, V. P., Garcia, C. P., Krishnamoorthy, S., Pud, S. (2024) Rational design of a planar junctionless field-effect transistor for sensing biomolecular interactions. Proceedings, 97, (doi: 10.3390/proceedings2024097121)

Aneesh, Y. M., Bindu, B., Asenov, A. (2024) Single event transient effects in raised source/drain double-gate 1-T DRAM. (doi: 10.1109/edtm58488.2024.10512048)

Brugnolotto, E., Aleksandrov, P., Sousa, M., Georgiev, V. (2024) Machine learning inspired nanowire classification method based on nanowire array scanning electron microscope images. Open Research Europe, 4, (doi: 10.12688/openreseurope.16696.1)

Mishra, S., Nair, N. M., Khandelwal, G., Rai, B., Georgiev, V. (2024) Capacitive-triboelectric based hybrid sensor system for human-like tactile perception. IEEE Sensors Letters, 8, (doi: 10.1109/LSENS.2024.3351692)

2023

Kumar, N., Dixit, A., Rezaei, A., Dutta, T., Pascual García, C., Georgiev, V. (2023) Insights into the Ultra-Steep Subthreshold Slope Gate-all-around Feedback-FET for Memory and Sensing Applications. (doi: 10.1109/nmdc57951.2023.10343913)

Kumar, N., Pascual García, C., Dixit, A., Rezaei, A., Georgiev, V. (2023) Charge dynamics of amino acids fingerprints and the effect of density on FinFET-based electrolyte-gated sensor. Solid-State Electronics, 210, (doi: 10.1016/j.sse.2023.108789)

Bhardwaj, A., Kumar, P., Raj, B., Kumar, N., Anand, S. (2023) Design and optimization of vertical nanowire tunnel FET with electrostatic doping. Engineering Research Express, 5, (doi: 10.1088/2631-8695/acff3a)

Gandhi, N., Jaisawal, R. K., Rathore, S., Kondekar, P. N., Dixit, A., Kumar, N., Georgiev, V., Bagga, N. (2023) Gate Oxide Induced Reliability Assessment of Junctionless FinFET-Based Hydrogen Gas Sensor. (doi: 10.1109/SENSORS56945.2023.10324885)

Mishra, S., John, D. A., Kumar, N., Rai, B., Georgiev, V. (2023) Human-Inspired Stretch and Joint-Bend Sensing System Based on Flexible Sensors. (doi: 10.1109/SENSORS56945.2023.10325250)

Kumar, N., García, C. P., Rezaei, A., Dixit, A., Asenov, A., Georgiev, V. (2023) Electrolyte-Gated FET-based Sensing of Immobilized Amphoteric Molecules Including the Variability in Affinity of the Reactive Sites. (doi: 10.23919/SISPAD57422.2023.10319578)

Aleksandrov, P., Rezaei, A., Xeni, N., Dutta, T., Asenov, A., Georgiev, V. (2023) Fully Convolutional Generative Machine Learning Method for Accelerating Non-Equilibrium Green’s Function Simulations. (doi: 10.23919/SISPAD57422.2023.10319587)

Li, W., Wolff, N., Samuel, A. K., Wang, Y., Georgiev, V. P., Kienle, L., Ganin, A. (2023) Unlocking high-performance supercapacitor behavior and sustained chemical stability of 2D metallic CrSe2 by optimal electrolyte selection. ChemElectroChem, 10, (doi: 10.1002/celc.202300428)

Brugnolotto, E., Schmid, H., Georgiev, V., Sousa, M. (2023) In-plane III-V nanowires on Si (1 1 0) with quantum wells by selective epitaxy in templates. Crystal Growth and Design, 23, pp. 8034-8042. (doi: 10.1021/acs.cgd.3c00806)

Kumar, N., García, C. P., Dixit, A., Rezaei, A., Georgiev, V. (2023) Novel Detection Methodology of Milk-Oligopeptides Fingerprints using Ion-Sensitive BioFET. (doi: 10.1109/BioSensors58001.2023.10281172)

Aleksandrov, P., Rezaei, A., Dutta, T., Xeni, N., Asenov, A., Georgiev, V. (2023) Convolutional machine learning method for accelerating non-equilibrium Green’s function simulations in nanosheet transistor. IEEE Transactions on Electron Devices, 70, pp. 5448-5453. (doi: 10.1109/TED.2023.3306319)

Dam Vedel, C., Gunst, T., Smidstrup, S., Georgiev, V. P. (2023) Shockley-Read-Hall recombination and trap levels in In 0.53Ga 0.47As point defects from first principles. Physical Review B, 108, (doi: 10.1103/PhysRevB.108.094113)

Topaloglu, I. (2023) Sensitivity analysis based new numerical approach with green function for optimization. Journal of Electrical Engineering and Technology, (doi: 10.1007/s42835-023-01639-0)

Singh, S., Solay, L. R., Anand, S., Kumar, N., Ranjan, R., Singh, A. (2023) Implementation of gate-all-around gate-engineered charge plasma nanowire FET-based common source amplifier. Micromachines, 14, (doi: 10.3390/mi14071357)

Anam, A., Amin, S. I., Prasad, D., Kumar, N., Anand, S. (2023) Undoped vertical dual-bilayer TFET with a super-steep sub-threshold swing: proposal and performance comparative analysis. Semiconductor Science and Technology, 38, (doi: 10.1088/1361-6641/ACD2F9)

Medina Bailon, C., Nedjalkov, M., Georgiev, V., Selberherr, S., Asenov, A. (2023) Comprehensive mobility study of silicon nanowire transistors using multi-subband models. Nano Express, 4, (doi: 10.1088/2632-959X/acdb8a)

Kumar, N., Dhar, R. P. S., Pascual Garcia, C., Georgiev, V. (2023) A novel computational framework for simulations of bio-field effect transistors. ECS Transactions, 111, pp. 249-260. (doi: 10.1149/11101.0249ecst)

Brugnolotto, E., Scherrer, M., Schmid, H., Georgiev, V., Sousa, M. (2023) Growth of type I superlattice III-V heterostructure in horizontal nanowires enclosed in a silicon oxide template. Journal of Crystal Growth, 603, (doi: 10.1016/j.jcrysgro.2022.127015)

Dhar, R., Kumar, N., Garcia, C. P., Georgiev, V. (2023) Deriving a novel methodology for nano-BioFETs and analysing the effect of high-k oxides on the amino-acids sensing application. Solid-State Electronics, 200, (doi: 10.1016/j.sse.2022.108525)

Majeed, L., Amin, S. I., Rasool, Z., Bashir, I., Kumar, N., Anand, S. (2023) TCAD device modeling and simulation study of organic field effect transistor-based pH sensor with tunable sensitivity for surpassing Nernst limit. Electronics, 12, (doi: 10.3390/electronics12030536)

Anam, A., Kumar, N., Amin, S. I., Prasad, D., Anand, S. (2023) Charge-plasma based symmetrical-gate complementary electron-hole bilayer TFET with improved performance for sub-0.5 V operation. Semiconductor Science and Technology, 38, (doi: 10.1088/1361-6641/ACA7DB)

Topaloglu, I. (2023) Deep learning based a new approach for power quality disturbances classification in power transmission system. Journal of Electrical Engineering and Technology, 18, pp. 77-88. (doi: 10.1007/s42835-022-01177-1)

Nagy, D., Rezaei, A., Xeni, N., Dutta, T., Adamu-Lema, F., Topaloglu, I., Georgiev, V. P., Asenov, A. (2023) Hierarchical simulation of nanosheet field effect transistor: NESS flow. Solid-State Electronics, 199, (doi: 10.1016/j.sse.2022.108489)

2022

Raman, A., Chattopadhyay, S. P., Ranjan, R., Kumar, N., Kakkar, D., Sharma, R. (2022) Design and investigation of dual dielectric recessed-gate AlGaN/GaN HEMT as gas sensor application. Transactions on Electrical and Electronic Materials, 23, pp. 618-623. (doi: 10.1007/s42341-022-00391-y)

Bhardwaj, A., Solay, L. R., Kumar, N., Amin, S. I., Singh, A., Raj, B., Kumar, P., Anand, S. (2022) Doping-less TFET based common source amplifier implementation and behaviour analysis under symmetric and asymmetric conditions. Silicon, 14, pp. 12251-12260. (doi: 10.1007/s12633-022-01921-2)

Dam Vedel, C., Smidstrup, S., Georgiev, V. P. (2022) First-principles investigation of polytypic defects in InP. Scientific Reports, 12, (doi: 10.1038/s41598-022-24239-w)

Aliyana, A. K., Ganguly, P., Beniwal, A., Kumar, S.K. N., Dahiya, R. (2022) Disposable pH sensor on paper using screen-printed graphene-carbon ink modified zinc oxide nanoparticles. IEEE Sensors Journal, 22, pp. 21049-21056. (doi: 10.1109/JSEN.2022.3206212)

Raj Solay, L., Kumar, N., Intekhab Amin, S., Kumar, P., Anand, S. (2022) Design and performance analysis of gate-all-around negative capacitance dopingless nanowire tunnel field effect transistor. Semiconductor Science and Technology, 37, (doi: 10.1088/1361-6641/ac86e9)

Gupta, A. K., Raman, A., Kumar, N. (2022) Design considerations and optimization of electrostatic doped ferroelectric nanotube tunnel FET: analog and noise analysis. Silicon, 14, pp. 10357-10373. (doi: 10.1007/s12633-022-01720-9)

Guan, Y., Georgiev, V. P., Asenov, A., Liang, F., Chen, H. (2022) Impact of the Figures of Merit (FoMs) definitions on the variability in nanowire TFET: NEGF simulation study. IEEE Transactions on Electron Devices, 69, pp. 6394-6399. (doi: 10.1109/TED.2022.3204596)

Lapham, P., Georgiev, V. (2022) Theoretically probing the relationship between barrier length and resistance in Al/AlOx/Al tunnel junctions. Solid-State Electronics, 197, (doi: 10.1016/j.sse.2022.108442)

Dhar, R., Kumar, N., Pascual Garcia, C., Georgiev, V. (2022) Assessing the effect of scaling high-aspect-ratio ISFET with physical model interface for nano-biosensing application. Solid-State Electronics, 195, (doi: 10.1016/j.sse.2022.108374)

Vitanov, P., Ivanova, T., Dikov, H., Terziyska, P., Ganchev, M., Petkov, N., Georgiev, Y., Asenov, A. (2022) Effect of a discontinuous Ag layer on optical and electrical properties of ZnO/Ag/ZnOStructures. Coatings, 12, (doi: 10.3390/coatings12091324)

Sachdeva, R., Bhushan, A., Bajaj, A., Gupta, M., Kumar, P., Raman, A., Ranjan, R., Kumar, N. (2022) Investigation of variation in temperature on steep subthreshold slope nanowire tunnel field effect transistor based biosensor. Engineering Research Express, 4, (doi: 10.1088/2631-8695/ac8640)

Bharadwaj, H., Kumar, N., Amin, S. I., Anand, S. (2022) Charge plasma based vertical nanowire tunnel field effect transistor: design and sensitivity analysis for biosensing application. Silicon, 14, pp. 7677-7684. (doi: 10.1007/s12633-021-01512-7)

Shukla, R. P., Bomer, J. G., Wijnperle, D., Kumar, N., Georgiev, V. P., Singh, A. C., Krishnamoorthy, S., García, C. P., Pud, S., Olthuis, W. (2022) Planar junctionless field-effect transistor for detecting biomolecular interactions. Sensors, 22, (doi: 10.3390/s22155783)

Rezaei, A., Maciazek, P., Sengupta, A., Dutta, T., Medina-Bailon, C., Asenov, A., Georgiev, V. P. (2022) Statistical device simulations of III-V nanowire resonant tunneling diodes as physical unclonable functions source. Solid-State Electronics, 194, (doi: 10.1016/j.sse.2022.108339)

Gauhar, G. A., Chenchety, A., Yenugula, H., Georgiev, V., Asenov, A., Badami, O. (2022) Study of gate current in advanced MOS architectures. Solid-State Electronics, 194, (doi: 10.1016/j.sse.2022.108345)

Tok, K. H., Mehedi, M., Zhang, J. F., Brown, J., Ye, Z., Ji, Z., Zhang, W., Marsland, J. S., Asenov, A., Georgiev, V. (2022) An integral methodology for predicting long-term RTN. IEEE Transactions on Electron Devices, 69, pp. 3869-3875. (doi: 10.1109/TED.2022.3176585)

Wighmal, K., Peddi, G., Apoorva, , Kumar, N., Amin, S. I., Anand, S. (2022) Gate all around dopingless nanotube TFET biosensor with Si0.5Ge0.5 - based source. Silicon, 14, pp. 5951-5959. (doi: 10.1007/s12633-021-01361-4)

Aliyana, A. K., Baburaj, A., Jalajamony, H. M., Kumar S.K., N., Dahiya, R., Fernadez, R. E. (2022) Impact of Analyte pH on the Sensitivity of Screen-Printed Flexible Ammonium Sensor. (doi: 10.1109/fleps53764.2022.9781496)

Upadhyay, U., Raman, A., Ranjan, R., Kumar, N. (2022) Overlapped gate-source/drain H-shaped TFET: proposal, design and linearity analysis. Silicon, 14, pp. 6415-6424. (doi: 10.1007/s12633-021-01404-w)

Kumar, N., Dhar, R. P. S., García, C. P., Georgiev, V. (2022) Discovery of Amino Acid fingerprints transducing their amphoteric signatures by field-effect transistors. ChemRxiv, (doi: 10.26434/chemrxiv-2022-bm062-v2)

Lapham, P., Georgiev, V. (2022) Computational study of oxide stoichiometry and variability in the Al/AlOx/Al tunnel junction. Nanotechnology, 33, (doi: 10.1088/1361-6528/ac5f2e)

Lal, K., Verma, A., Kumar, P., Kumar, N., Amin, S. I., Anand, S. (2022) Design and performance enhancement of gate-on-source PNPN doping–less vertical nanowire TFET. Silicon, 14, pp. 4375-4382. (doi: 10.1007/s12633-021-01222-0)

Gupta, A. K., Raman, A., Kumar, N., Shekhar, D., Kumar, P. (2022) Design and analysis of dopingless charge-plasma-based ring architecture of tunnel field-effect transistor for low-power application. CRC Press

Kumar, P., Gupta, M., Singh, K., Gupta, A. K., Kumar, N. (2022) Design and analysis of transition metal dichalcogenide-based feedback transistor. CRC Press

Shoaib, M., Amin, S. I., Kumar, N., Anand, S., Chunn, A., Alam, M. S. (2022) Device and circuit level assessment of negative capacitance TFETs for low-power high-performance digital circuits. ECS Journal of Solid State Science and Technology, 11, (doi: 10.1149/2162-8777/ac6d76)

Okte, L., Raman, A., Raj, B., Kumar, N. (2022) Junctionless silicon nanotube tunnel field effect transistor based resistive temperature detector. Silicon, 14, pp. 3281-3291. (doi: 10.1007/s12633-021-01102-7)

Chen, R., Chen, L., Liang, J., Cheng, Y., Elloumi, S., Lee, J., Xu, K., Georgiev, V. P., Ni, K., Debacker, P., Asenov, A., Todri-Sanial, A. (2022) Carbon nanotube SRAM in 5-nm technology node design, optimization, and performance evaluation--part I: CNFET transistor optimization. IEEE Transactions on Very Large Scale Integration Systems, 30, pp. 432-439. (doi: 10.1109/TVLSI.2022.3146125)

Chen, R., Chen, L., Liang, J., Cheng, Y., Elloumi, S., Lee, J., Xu, K., Georgiev, V. P., Ni, K., Debacker, P., Asenov, A., Todri-Sanial, A. (2022) Carbon nanotube SRAM in 5-nm technology node design, optimization, and performance evaluation--part II: CNT interconnect optimization. IEEE Transactions on Very Large Scale Integration Systems, 30, pp. 440-448. (doi: 10.1109/TVLSI.2022.3146064)

Kumar, P., Gupta, M., Singh, K., Kumar, N. (2022) Linearity analysis of MoTe2-FET based single transistor AND gate using Non-equilibrium Green's function. Transactions on Electrical and Electronic Materials, 23, pp. 164-170. (doi: 10.1007/s42341-021-00336-x)

Alam, S., Raman, A., Raj, B., Kumar, N., Singh, S. (2022) Design and analysis of gate overlapped/underlapped NWFET based lable free biosensor. Silicon, 14, pp. 989-996. (doi: 10.1007/s12633-020-00880-w)

Dutta, T., Medina Bailon, C., Xeni, N., Georgiev, V., Asenov, A. (2022) Density Gradient Based Quantum-Corrected 3D Drift-Diffusion Simulator for Nanoscale MOSFETs. (doi: 10.1109/NMDC50713.2021.9677480)

Singh, S., Singh, S., Kumar, N., Singh, N. K., Ranjan, R., Anand, S. (2022) Lead zirconium titanate (PZT)-based gate-all-around negative-capacitance junctionless nanowire FET for distortionless low-power applications. Journal of Electronic Materials, 51, pp. 196-206. (doi: 10.1007/s11664-021-09277-w)

2021

Vedel, C. D., Brugnolotto, E., Smidstrup, S., Georgiev, V. P. (2021) Impact of Different Types of Planar Defects on Current Transport in Indium Phosphide (InP) (doi: 10.1109/EuroSOI-ULIS53016.2021.9560698)

Dhar, R. P. S., Kumar, N., Medina-Bailon, C., Garcia, C. P., Georgiev, V. P. (2021) TCAD Simulations of High-Aspect-Ratio Nano-biosensor for Label-Free Sensing Application. (doi: 10.1109/EuroSOI-ULIS53016.2021.9560701)

Apoorva, , Kumar, N., Intekhab Amin, S., Anand, S. (2021) Design and investigation of negative capacitance–based core‐shell dopingless nanotube tunnel field‐effect transistor. IET Circuits, Devices and Systems, 15, pp. 686-694. (doi: 10.1049/cds2.12064)

Dutta, T., Georgiev, V., Asenov, A. (2021) Stability and Vmin analysis of ferroelectric negative capacitance FinFET based SRAM in the presence of variability. Solid-State Electronics, 184, (doi: 10.1016/j.sse.2021.108100)

Martinez-Oliver, C., Moselund, K. E., Georgiev, V. P. (2021) Evaluation of Material Profiles for III-V Nanowire Photodetectors. (doi: 10.1109/NUSOD52207.2021.9541533)

singh, A., Sajad, M., Singh, A., Kumar, N., Amin, S. I., Anand, S. (2021) Design and analysis of negative capacitance based dual material dopingless tunnel FET. Superlattices and Microstructures, 156, (doi: 10.1016/j.spmi.2021.106964)

Medina-Bailon, C., Kumar, N., Dhar, R. P. S., Todorova, I., Lenoble, D., Georgiev, V. P., Pascual García, C. (2021) Comprehensive analytical modelling of an absolute pH sensor. Sensors, 21, (doi: 10.3390/s21155190)

Kumar, P., Gupta, M., Singh, K., Kumar, N. (2021) Back-gated MoTe2 based 1T-AND gate using non-equilibrium Green's function: design and investigation. Journal of Electronic Materials, 50, pp. 3422-3428. (doi: 10.1007/S11664-021-08820-Z)

Singh, J., Chakraborty, D., Kumar, N. (2021) Design and parametric variation assessment of dopingless nanotube field-effect transistor (DL-NT-FET) for high performance. Silicon, 14, pp. 4097-4105. (doi: 10.1007/s12633-021-01182-5)

Medina-Bailon, C., Padilla, J. L., Sampedro, C., Donetti, L., Gergiev, V. P., Gamiz, F., Asenov, A. (2021) Self-consistent enhanced S/D tunneling implementation in a 2D MS-EMC nanodevice simulator. Micromachines, 12, (doi: 10.3390/mi12060601)

Medina-Bailon, C., Dutta, T., Rezaei, A., Nagy, D., Adamu-Lema, F., Georgiev, V. P., Asenov, A. (2021) Simulation and modeling of novel electronic device architectures with NESS (Nano-Electronic Simulation Software): a modular nano TCAD simulation framework. Micromachines, 12, (doi: 10.3390/mi12060680)

Guan, Y., Carrillo-Nuñez, H., Georgiev, V. P., Asenov, A., Liang, F., Li, Z., Chen, H. (2021) Quantum simulation investigation of work-function variation in nanowire tunnel FETs. Nanotechnology, 32, (doi: 10.1088/1361-6528/abd125)

Raman, A., Chaturvedi, C., Kumar, N. (2021) Multi‐quantum well‐based solar cell. Wiley

Lapham, P., Vilà-Nadal, L., Cronin, L., Georgiev, V. P. (2021) Influence of the contact geometry and counterions on the current flow and charge transfer in polyoxometalate molecular junctions: a density functional theory study. Journal of Physical Chemistry C, 125, pp. 3599-3610. (doi: 10.1021/acs.jpcc.0c11038)

Dutta, T., Adamu-Lema, F., Nagy, D., Asenov, A., Nebesnyi, V., Han, J.-W., Widjaja, Y. (2021) Equivalent Circuit Macro-Compact Model of the 1T Bipolar SRAM Cell. (doi: 10.1109/SISPAD54002.2021.9592536)

Dutta, T., Medina Bailon, C., Rezaei, A., Nagy, D., Adamu-Lema, F., Xeni, N., Abourrig, Y., Kumar, N., Georgiev, V., Asenov, A. (2021) TCAD Simulation of Novel Semiconductor Devices. (doi: 10.1109/ASICON52560.2021.9620465)

2020

Medina-Bailon, C., Dutta, T., Adamu-Lema, F., Rezaei, A., Nagy, D., Georgiev, V. P., Asenov, A. (2020) Nano-electronic simulation software (NESS): a novel open-source TCAD simulation environment. Journal of Microelectronic Manufacturing, 3, (doi: 10.33079/jomm.20030407)

Prakash Singh, R., Khosla, M., Saini, I., Kumar, N. (2020) Design and analysis of IGZO based junctionless thin film transistor using SOI technology. Silicon, 13, pp. 2309-2318. (doi: 10.1007/s12633-020-00803-9)

Lapham, P., Badami, O., Medina-Bailon, C., Adamu-Lema, F., Dutta, T., Nagy, D., Georgiev, V., Asenov, A. (2020) A Combined First Principles and Kinetic Monte Carlo study of Polyoxometalate based Molecular Memory Devices. (doi: 10.23919/SISPAD49475.2020.9241606)

Kumar, K., Raman, A., Raj, B., Singh, S., Kumar, N. (2020) Design and optimization of junctionless-based devices with noise reduction for ultra-high frequency applications. Applied Physics A: Materials Science and Processing, 126, (doi: 10.1007/s00339-020-04092-2)

Dutta, T., Adamu-Lema, F., Asenov, A., Widjaja, Y., Nebesnyi, V. (2020) Dynamic Simulation of Write ‘1’Operation in the Bi-stable 1-Transistor SRAM Cell. (doi: 10.23919/SISPAD49475.2020.9241653)

Medina Bailon, C., Badami, O., Carrillo-Nunez, H., Dutta, T., Nagy, D., Adamu-Lema, F., Georgiev, V. P., Asenov, A. (2020) Enhanced Capabilities of the Nano-Electronic Simulation Software (NESS) (doi: 10.23919/SISPAD49475.2020.9241594)

McGhee, J., Georgiev, V. P. (2020) First Principle Simulations of Electronic and Optical Properties of a Hydrogen Terminated Diamond Doped by a Molybdenum Oxide Molecule. (doi: 10.23919/SISPAD49475.2020.9241630)

Georgiev, V.P., Sengupta, A., Maciazek, P., Badami, O., Medina-Bailon, C., Dutta, T., Adamu-Lema, F., Asenov, A. (2020) Simulation of Gated GaAs-AlGaAs Resonant Tunneling Diodes for Tunable Terahertz Communication Applications. (doi: 10.23919/SISPAD49475.2020.9241677)

Kumar, P., Gupta, M., Singh, K., Kumar, N. (2020) Design and investigation of split-gate MoTe2-based FET as single transistor AND gate using nonequilibrium Green’s function. IEEE Transactions on Electron Devices, 67, pp. 5221-5228. (doi: 10.1109/TED.2020.3025518)

McGhee, J., Georgiev, V. P. (2020) Electronic and Optical Properties of Hydrogen-Terminated Diamond Doped by Molybdenum Oxide: A Density Functional Theory Study. (doi: 10.1109/NUSOD49422.2020.9217662)

Xeni, N., Ghannam, R., Georgiev, V., Adamu-Lema, F., Badami, O., Asenov, A. (2020) The Use of TCAD Simulations in Semiconductor Devices Teaching. (doi: 10.1109/TREET50959.2020.9189752)

Berrada, S., Carrillo-Nunez, H., Lee, J., Medina Bailon, C., Dutta, T., Badami, O., Adamu-Lema, F., Thirunavukkarasu, V., Georgiev, V., Asenov, A. (2020) Nano-electronic Simulation Software (NESS): a flexible nano-device simulation platform. Journal of Computational Electronics, 19, pp. 1031-1046. (doi: 10.1007/s10825-020-01519-0)

Dutta, T., Georgiev, V., Asenov, A. (2020) Vmin Prediction for Negative Capacitance MOSFET based SRAM. (doi: 10.1109/EUROSOI-ULIS49407.2020.9365282)

Kumar, N., Raman, A. (2020) Novel asymmetric recessed-gate/source architecture advancement of dual-metal-date SiGe/Si dopingless nanowire-TFET for low-voltage performance optimization. Silicon, 13, pp. 3141-3151. (doi: 10.1007/s12633-020-00659-z)

Badami, O., Sadi, T., Adamu-Lema, F., Lapham, P., Mu, D., Nagy, D., Georgiev, V., Ding, J., Asenov, A. (2020) A Kinetic Monte Carlo study of retention time in a POM molecule-based flash memory. IEEE Transactions on Nanotechnology, 19, pp. 704-710. (doi: 10.1109/TNANO.2020.3016182)

Carrillo-Nuñez, H., Medina-Bailón, C., Georgiev, V. P., Asenov, A. (2020) Full-band quantum transport simulation in presence of hole-phonon interactions using a mode-space k·p approach. Nanotechnology, 32, (doi: 10.1088/1361-6528/abacf3)

Adamu-Lema, F., Monzio Compagnoni, C., Badami, O., Georgiev, V., Asenov, A. (2020) RTN and its intrinsic interaction with statistical variability sources in advanced nano-scale devices: a simulation study. Springer

McGhee, J., Georgiev, V. P. (2020) Simulation study of surface transfer doping of hydrogenated diamond by MoO₃ and V₂O₅ metal oxides. Micromachines, 11, (doi: 10.3390/mi11040433)

Medina-Bailon, C., Carrillo-Nunez, H., Lee, J., Sampedro, C., Padilla, J. L., Donetti, L., Georgiev, V., Gamiz, F., Asenov, A. (2020) Quantum enhancement of a S/D tunneling model in a 2D MS-EMC nanodevice simulator: NEGF comparison and impact of effective mass variation. Micromachines, 11, (doi: 10.3390/mi11020204)

2019

Kumar, N., Raman, A. (2019) Design and analog performance analysis of charge-plasma based cylindrical GAA silicon nanowire tunnel field effect transistor. Silicon, 12, pp. 2627-2634. (doi: 10.1007/s12633-019-00355-7)

Kumar Gupta, A., Raman, A., Kumar, N. (2019) Cylindrical nanowire-TFET with core-shell channel architecture: design and investigation. Silicon, 12, pp. 2329-2336. (doi: 10.1007/s12633-019-00331-1)

Mushtaq, U., Kumar, N., Anand, S., Amin, I. (2019) Design and performance analysis of core-shell dual metal-dual gate cylindrical GAA silicon nanotube-TFET. Silicon, 12, pp. 2355-2363. (doi: 10.1007/s12633-019-00329-9)

Xeni, N., Ghannam, R., Udama, F., Georgiev, V., Asenov, A. (2019) Semiconductor Device Visualization using TCAD Software: Case Study for Biomedical Applications.

Thirunavukkarasu, V., Carrillo-Nunez, H., Adamu-Lema, F., Berrada, S., Badami, O., Medina-Bailón, C., Dutta, T., Lee, J., Guen, Y., Georgiev, V., Asenov, A. (2019) Efficient Coupled-mode space based Non-Equilibrium Green’s Function Approach for Modeling Quantum Transport and Variability in Vertically Stacked SiNW FETs. (doi: 10.1109/SISPAD.2019.8870400)

Sadi, T., Badami, O., Georgiev, V., Ding, J., Asenov, A. (2019) Physical Insights into the Transport Properties of RRAMs Based on Transition Metal Oxides. (doi: 10.1109/SISPAD.2019.8870391)

Medina-Bailon, C., Sadi, T., Nedjalkov, M., Carrillo-Nuñez, H., Lee, J., Badami, O., Georgiev, V., Selberherr, S., Asenov, A. (2019) Mobility of circular and elliptical si nanowire transistors using a multi-subband 1d formalism. IEEE Electron Device Letters, 40, pp. 1571-1574. (doi: 10.1109/LED.2019.2934349)

Medina-Bailon, C., Dutta, T., Klüpfel, S., Georgiev, V., Asenov, A. (2019) Scaling-aware TCAD Parameter Extraction Methodology for Mobility Prediction in Tri-gate Nanowire Transistors. (doi: 10.1109/SISPAD.2019.8870556)

Carrillo-Nunez, H., Dimitrova, N., Asenov, A., Georgiev, V. (2019) Machine learning approach for predicting the effect of statistical variability in Si junctionless nanowire transistors. IEEE Electron Device Letters, 40, pp. 1366-1369. (doi: 10.1109/LED.2019.2931839)

Guan, Y., Li, Z., Carrillo-Nunez, H., Zhang, Y., Georgiev, V. P., Asenov, A., Liang, F. (2019) An accurate analytical model for tunnel FET output characteristics. IEEE Electron Device Letters, 40, pp. 1001-1004. (doi: 10.1109/LED.2019.2914014)

Badami, O., Medina-Bailon, C., Berrada, S., Carrillo-Nunez, H., Lee, J., Georgiev, V., Asenov, A. (2019) Comprehensive study of cross-section dependent effective masses for silicon based gate-all-around transistors. Applied Sciences, 9, (doi: 10.3390/app9091895)

Liang, J., Chen, R., Ramos, R., Lee, J., Okuno, H., Kalita, D., Georgiev, V., Berrada, S., Sadi, T., Uhlig, B., Lilienthal, K., Dhavamani, A., Konemann, F., Gotsmann, B., Goncalves, G., Chen, B., Asenov, A., Dijon, J., Todri-Sanial, A. (2019) Investigation of Pt-salt-doped-standalone-multiwall carbon nanotubes for on-chip interconnect applications. IEEE Transactions on Electron Devices, 66, pp. 2346-2352. (doi: 10.1109/TED.2019.2901658)

Kumar, N., Raman, A. (2019) Design and investigation of charge-plasma-based work function engineered dual-metal-heterogeneous gate Si-Si0.55Ge0.45 GAA-cylindrical NWTFET for ambipolar analysis. IEEE Transactions on Electron Devices, 66, pp. 1468-1474. (doi: 10.1109/TED.2019.2893224)

Medina Bailon, C., Padilla, J. L., Sadi, T., Sampedro, C., Godoy, A., Donetti, L., Georgiev, V. P., Gamiz, F., Asenov, A. (2019) Multisubband ensemble Monte Carlo analysis of tunneling leakage mechanisms in ultrascaled FDSOI, DGSOI, and FinFET devices. IEEE Transactions on Electron Devices, 66, pp. 1145-1152. (doi: 10.1109/TED.2019.2890985)

Manut, A., Gao, R., Zhang, J. F., Ji, Z., Mehedi, M., Zhang, W. D., Vigar, D., Asenov, A., Kaczer, B. (2019) Trigger-when-charged: a technique for directly measuring RTN and BTI-induced threshold voltage fluctuation under use-Vdd. IEEE Transactions on Electron Devices, 66, pp. 1482-1488. (doi: 10.1109/TED.2019.2895700)

Sadi, T., Medina Bailon, C., Nedjalkov, M., Lee, J., Badami, O., Berrada, S., Carrillo-Nunez, H., Georgiev, V., Selberherr, S., Asenov, A. (2019) Simulation of the impact of ionized impurity scattering on the total mobility in Si nanowire transistors. Materials, 12, (doi: 10.3390/ma12010124)

Jayaswal, N., Raman, A., Kumar, N., Singh, S. (2019) Design and analysis of electrostatic-charge plasma based dopingless IGZO vertical nanowire FET for ammonia gas sensing. Superlattices and Microstructures, 125, pp. 256-270. (doi: 10.1016/j.spmi.2018.11.009)

Kumar, N., Mushtaq, U., Intekhab Amin, S., Anand, S. (2019) Design and performance analysis of Dual-Gate All around Core-Shell Nanotube TFET. Superlattices and Microstructures, 125, pp. 356-364. (doi: 10.1016/j.spmi.2018.09.012)

Duan, M., Navarro, C., Cheng, B., Adamu-Lema, F., Wang, X., Georgiev, V.P., Gamiz, F., Millar, C., Asenov, A. (2019) Thorough understanding of retention time of Z2FET memory operation. IEEE Transactions on Electron Devices, 66, pp. 383-388. (doi: 10.1109/TED.2018.2877977)

Lee, J., Medina-Bailon, C., Berrada, S., Carrillo-Nunez, H., Sadi, T., Georgiev, V. P., Nedjalkov, M., Asenov, A. (2019) A Multi-Scale Simulation Study of the Strained Si Nanowire FETs. (doi: 10.1109/NMDC.2018.8605884)

Mathew, P. T., Fang, F., Vila-Nadal, L., Cronin, L., Georgiev, V. (2019) First Principle Simulations of Current Flow in Inorganic Molecules: Polyoxometalates (POMs) (doi: 10.1109/EUROSOI-ULIS45800.2019.9041869)

Medina Bailon, C., Sadi, T., Sampedro, C., Padilla, J. L., Donetti, L., Georgiev, V., Gamiz, F., Asenov, A. (2019) Impact of the trap attributes on the gate leakage mechanisms in a 2D MS-EMC nanodevice simulator. Springer

Sadi, T., Badami, O., Georgiev, V., Asenov, A. (2019) Kinetic Monte Carlo Analysis of the Operation and Reliability of Oxide Based RRAMs. (doi: 10.1007/978-3-030-41032-2_49)

Dutta, T., Medina-Bailon, C., Carrillo-Nunez, H., Badami, O., Georgiev, V., Asenov, A. (2019) Schrödinger Equation Based Quantum Corrections in Drift-Diffusion: A Multiscale Approach. (doi: 10.1109/NMDC47361.2019.9084010)

Carrillo-Nunez, H., Wang, C., Asenov, A., Young, R., Georgiev, V. (2019) Simulation of Si Nanowire Quantum-Dot Devices for Authentication. (doi: 10.1109/EUROSOI-ULIS45800.2019.9041864)

McGhee, J., Moran, D. A., Georgiev, V. P. (2019) Simulations of Surface Transfer Doping of Hydrogenated Diamond by MoO3 Metal Oxide. (doi: 10.1109/EUROSOI-ULIS45800.2019.9041887)

Lee, J., Lamarche, M., Georgiev, V. P. (2019) The First-Priniple Simulation Study on the Specific Grain Boundary Resistivity in Copper Interconnects. (doi: 10.1109/NMDC.2018.8605907)

2018

Lee, J., Badami, O., Carrillo-Nunez, H., Berrada, S., Medina-Bailon, C., Dutta, T., Adamu-Lema, F., Georgiev, V. P., Asenov, A. (2018) Variability predictions for the next technology generations of n-type SixGe1-x nanowire MOSFETs. Micromachines, 9, (doi: 10.3390/mi9120643)

Medina Bailon, C., Sampedro, C., Padilla, J. L., Godoy, A., Donetti, L., Georgiev, V. P., Gamiz, F., Asenov, A. (2018) Impact of Strain on S/D tunneling in FinFETs: a MS-EMC study. (doi: 10.1109/SISPAD.2018.8551707)

Medina Bailon, C., Sadi, T., Nedjalkov, M., Lee, J., Berrada, S., Carrillo-Nunez, H., Georgiev, V. P., Selberherr, S., Asenov, A. (2018) Impact of the Effective Mass on the Mobility in Si Nanowire Transistors. (doi: 10.1109/SISPAD.2018.8551630)

Dutta, T., Georgiev, V., Asenov, A. (2018) Interplay of RDF and Gate LER Induced Statistical Variability in Negative Capacitance FETs. (doi: 10.1109/SISPAD.2018.8551710)

Berrada, S., Dutta, T., Carrillo-Nunez, H., Duan, M., Adamu-Lema, F., Lee, J., Georgiev, V., Medina Bailon, C., Asenov, A. (2018) NESS: new flexible Nano-Electronic Simulation Software. (doi: 10.1109/SISPAD.2018.8551701)

Berrada, S., Lee, J., Carrillo-Nunez, H., Medina Bailon, C., Adamu-Lema, F., Georgiev, V., Asenov, A. (2018) Quantum Transport Investigation of Threshold Voltage Variability in Sub-10 nm JunctionlessSi Nanowire FETs. (doi: 10.1109/SISPAD.2018.8551638)

Duan, M., Cheng, B., Adamu-Lema, F., Asenov, P., Dutta, T., Wang, X., Georgiev, V. P., Millar, C., Pfaeffli, P., Asenov, A. (2018) Statistical Variability Simulation of Novel Capacitor-less Z2FET DRAM: From Transistor Circuit. (doi: 10.1109/SISPAD.2018.8551710)

Liang, J., Lee, J., Berrada, S., Georgiev, V., Pandey, R. R., Chen, R., Asenov, A., Todri-Sanial, A. (2018) Atomistic to circuit-level modeling of doped SWCNT for on-chip interconnects. IEEE Transactions on Nanotechnology, 17, pp. 1084-1088. (doi: 10.1109/TNANO.2018.2802320)

Chen, R., Liang, J., Lee, J., Georgiev, V. P., Ramos, R., Okuno, H., Kalita, D., Cheng, Y., Zhang, L., Pandey, R. R., Amoroso, S., Millar, C., Asenov, A., Dijon, J., Todri-Sanial, A. (2018) Variability study of MWCNT local interconnects considering defects and contact resistances - Part I: pristine MWCNT. IEEE Transactions on Electron Devices, 65, pp. 4955-4962. (doi: 10.1109/TED.2018.2868421)

Chen, R., Liang, J., Lee, J., Georgiev, V. P., Ramos, R., Okuno, H., Kalita, D., Cheng, Y., Zhang, L., Pandey, R. R., Amoroso, S., Millar, C., Asenov, A., Dijon, J., Todri-Sanial, A. (2018) Variability study of MWCNT local interconnects considering defects and contact resistances - Part II: impact of charge transfer doping. IEEE Transactions on Electron Devices, 65, pp. 4963-4970. (doi: 10.1109/TED.2018.2868424)

Carrillo-Nunez, H., Lee, J., Berrada, S., Medina-Bailon, C., Adamu-Lema, F., Luisier, M., Asenov, A., Georgiev, V. P. (2018) Random dopant-induced variability in Si-InAs nanowire tunnel FETs: a quantum transport simulation study. IEEE Electron Device Letters, 39, pp. 1473-1476. (doi: 10.1109/LED.2018.2859586)

Lee, J., Berrada, S., Adamu-Lema, F., Carrillo-Nunez, H., Nagy, N., Georgiev, V., Sadi, T., Liang, J., Ramos, R., Carrillo-Nunez, H., Kalita, D., Lilienthal, K., Wislicenus, M., Pandey, R., Chen, B., Teo, K. B.K., Goncalves, G., Okuno, H., Uhlig, B., Todri-Sanial, A., Dijon, J., Asenov, A. (2018) Understanding electromigration in Cu-CNT composite interconnects: a multiscale electrothermal simulation study. IEEE Transactions on Electron Devices, 65, pp. 3884-3892. (doi: 10.1109/TED.2018.2853550)

Georgiev, V. P. (2018) Development of Hierarchical Simulation Framework for Design and Optimization of Molecular Based Flash Cell. (doi: 10.1109/DRC.2018.8442234)

Uhlig, B., Dhavamani, A., Nagy, N., Lilienthal, K., Liske, R., Ramos, R., Dijon, J., Okuno, H., Kalita, D., Lee, J., Georgiev, V., Asenov, A., Amoroso, S., Wang, L., Koenemann, F., Gotsmann, B., Goncalves, G., Chen, B., Liang, J., Pandey, R. R., Chen, R., Todri-Sanial, A. (2018) Challenges and Progress on Carbon Nanotube Integration for BEOL Interconnects. (doi: 10.1109/IITC.2018.8430411)

Nedjalkov, M., Ellinghaus, P., Weinbub, J., Sadi, T., Asenov, A., Dimov, I., Selberherr, S. (2018) Stochastic analysis of surface roughness models in quantum wires. Computer Physics Communications, 228, pp. 30-37. (doi: 10.1016/j.cpc.2018.03.010)

Medina Bailon, C., Sampedro, C., Padilla, J.L., Godoy, A., Donetti, L., Gamiz, F., Asenov, A. (2018) MS-EMC vs. NEGF: A comparative study accounting for transport quantum corrections. (doi: 10.1109/ULIS.2018.8354758)

Dutta, T., Georgiev, V., Asenov, A. (2018) Random Discrete Dopant Induced Variability in Negative Capacitance Transistors. (doi: 10.1109/ULIS.2018.8354732)

Medina Bailon, C., Sadi, T., Nedjalkov, M., Lee, J., Berrada, S., Carrillo-Nunez, H., Georgiev, V., Selberherr, S., Asenov, A. (2018) Study of the 1D Scattering Mechanisms' Impact on the Mobility in Si Nanowire Transistors. (doi: 10.1109/ULIS.2018.8354723)

Cristoloveanu, S., Lee, K.H., Parihar, M.S., El Dirani, H., Lacord, J., Martinie, S., Le Royer, C., Barbe, J.-C., Mescot, X., Fonteneau, P., Galy, P., Gamiz, F., Navarro, C., Cheng, B., Duan, M., Adamu-Lema, F., Asenov, A., Taur, Y., Xu, Y., Kim, Y.-T., Wan, J., Bawedin, M. (2018) A review of the Z²-FET 1T-DRAM memory: operation mechanisms and key parameters. Solid-State Electronics, 143, pp. 10-19. (doi: 10.1016/j.sse.2017.11.012)

Carrillo-Nuñez, H., Mirza, M. M., Paul, D. J., MacLaren, D. A., Asenov, A., Georgiev, V. P. (2018) Impact of randomly distributed dopants on Ω-gate junctionless silicon nanowire transistors. IEEE Transactions on Electron Devices, 65, pp. 1692-1698. (doi: 10.1109/TED.2018.2817919)

Georgiev, V. P., Vila-Nadal, L., Cronin, L., Asenov, A. (2018) Molecular Based Flash Cell for Low Power Flash Application: Optimization and Variability Evaluation. (doi: 10.1109/NMDC.2017.8350505)

Georgiev, V. P., Dochioiu, A.-I., Adamu-Lema, F., Berrada, S., Mirza, M. M., Paul, D., Asenov, A. (2018) Variability Study of High Current Junctionless Silicon Nanowire Transistors. (doi: 10.1109/NMDC.2017.8350514)

Uhlig, B., Liang, J., Lee, J., Ramos, R., Dhavamani, A., Nagy, N., Dijon, J., Okuno, H., Kalita, D., Georgiev, V., Asenov, A., Amoroso, S., Wang, L., Millar, C., Konemann, F., Gotsmann, B., Goncalves, G., Chen, B., Pandey, R. R., Chen, R., Todri-Sanial, A. (2018) Progress on Carbon Nanotube BEOL Interconnects. (doi: 10.23919/DATE.2018.8342144)

Sadi, T., Mehonic, A., Montesi, L., Buckwell, M., Kenyon, A., Asenov, A. (2018) Investigation of resistance switching in SiOx RRAM cells using a 3D multi-scale kinetic Monte Carlo simulator. Journal of Physics: Condensed Matter, 30, (doi: 10.1088/1361-648X/aaa7c1)

Liang, J., Lee, J., Berrada, S., Georgiev, V., Asenov, A., Azemard-Crestani, A., Todri-Sanial, A. (2018) Atomistic to Circuit Level Modeling of Defective Doped SWCNTs with Contacts for On-Chip Interconnect Application. (doi: 10.1109/NMDC.2017.8350506)

2017

Navarro, C., Duan, M., Parihar, M. S., Adamu-Lema, F., Coseman, S., Lacord, J., Lee, K., Sampedro, C., Cheng, B., El Dirani, H., Barbe, J.-C., Fonteneau, P., Kim, S.-I., Cristoloveanu, S., Bawedin, M., Millar, C., Galy, P., Le Royer, C., Karg, S., Riel, H., Wells, P., Kim, Y.-T., Asenov, A., Gamiz, F. (2017) Z²-FET as capacitor-less eDRAM cell for high-density integration. IEEE Transactions on Electron Devices, 64, pp. 4904-4909. (doi: 10.1109/TED.2017.2759308)

Navarro, C., Lacord, J., Parihar, M. S., Adamu-Lema, F., Duan, M., Rodriguez, N., Cheng, B., El Dirani, H., Barbe, J.-C., Fonteneau, P., Bawedin, M., Millar, C., Galy, P., Le Royer, C., Karg, S., Wells, P., Kim, Y.-T., Asenov, A., Cristoloveanu, S., Gamiz, F. (2017) Extended analysis of the Z²-FET: operation as capacitorless eDRAM. IEEE Transactions on Electron Devices, 64, pp. 4486-4491. (doi: 10.1109/TED.2017.2751141)

Thirunavukkarasu, V., Lee, J., Sadi, T., Georgiev, V. P., Lema, F.-A., Soundarapandian, K. P., Jhan, Y.-R., Yang, S.-Y., Lin, Y.-R., Kurniawan, E. D., Wu, Y.-C., Asenov, A. (2017) Investigation of inversion, accumulation and junctionless mode bulk Germanium FinFETs. Superlattices and Microstructures, 111, pp. 649-655. (doi: 10.1016/j.spmi.2017.07.020)

Adamu-Lema, F., Duan, M., Navarro, C., Georgiev, V., Cheng, B., Wang, X., Millar, C., Gamiz, F., Asenov, A. (2017) Simulation Based DC and Dynamic Behaviour Characterization of Z2FET. (doi: 10.23919/SISPAD.2017.8085328)

Duan, M., Adamu-Lema, F., Cheng, B., Navarro, C., Wang, X., Georgiev, V.P., Gamiz, F., Millar, C., Asenov, A. (2017) 2D-TCAD Simulation on Retention Time of Z2FET for DRAM Application. (doi: 10.23919/SISPAD.2017.8085330)

Lee, J., Liang, J., Amoroso, S. M., Sadi, T., Wang, L., Asenov, P., Pender, A., Reid, D. T., Georgiev, V. P., Millar, C., Todri-Sanial, A., Asenov, A. (2017) Atoms-to-Circuits Simulation Investigation of CNT Interconnects for Next Generation CMOS Technology. (doi: 10.23919/SISPAD.2017.8085287)

Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F., Asenov, A. (2017) Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson-Schrödinger/3D Monte Carlo Simulation Study. (doi: 10.23919/SISPAD.2017.8085263)

Lee, J., Berrada, S., Liang, J., Sadi, T., Georgiev, V. P., Todri-Sanial, A., Kalita, D., Ramos, R., Okuno, H., Dijon, J., Asenov, A. (2017) The Impact of Vacancy Defects on CNT Interconnects: From Statistical Atomistic Study to Circuit Simulations. (doi: 10.23919/SISPAD.2017.8085288)

Wang, X., Georgiev, V. P., Adamu-Lema, F., Gerrer, L., Amoroso, S. M., Asenov, A. (2017) TCAD-based design technology co-optimization for variability in nanoscale SOI FinFETs. Pan Stanford

Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F., Asenov, A. (2017) Simulation study of vertically stacked lateral Si nanowires transistors for 5 nm CMOS applications. IEEE Journal of the Electron Devices Society, 5, pp. 466-472. (doi: 10.1109/JEDS.2017.2752465)

Georgiev, V. P., Mirza, M. M., Dochioiu, A.-I., Lema, F.-A., Amoroso, S. M., Towie, E., Riddet, C., MacLaren, D. A., Asenov, A., Paul, D. J. (2017) Experimental and simulation study of 1D silicon nanowire transistors using heavily doped channels. IEEE Transactions on Nanotechnology, 16, pp. 727-735. (doi: 10.1109/TNANO.2017.2665691)

Berrada, S., Lee, J., Georgiev, V., Asenov, A. (2017) Effect of the Quantum Mechanical Tunneling on the Leakage Current in Ultra-scaled Si Nanowire Transistors.

Medina-Bailon, C., Sadi, T., Sampedro, C., Padilla, J.L., Godoy, A., Donetti, L., Georgiev, V., Gamiz, F., Asenov, A. (2017) Assessment of Gate Leakage Mechanism Utilizing Multi-Subband Ensemble Monte Carlo. (doi: 10.1109/ULIS.2017.7962585)

Al-Ameri, T. M. A., Asenov, A. (2017) Vertically Stacked Lateral Si80Ge20 Nanowires Transistors for 5 nm CMOS Applications. (doi: 10.1109/ULIS.2017.7962612)

Zhang, Z., Zhang, Z., Guo, S., Wang, R., Wang, X., Cheng, B., Asenov, A., Huang, R. (2017) Comparative Study on RTN Amplitude in Planar and FinFET Devices. (doi: 10.1109/EDTM.2017.7947530)

Duan, M., Zhang, J. F., Zhang, J. C., Zhang, W., Ji, Z., Benbakhti, B., Zheng, X.F., Hao, Y., Vigar, D., Adamu-Lema, F., Chandra, V., Aitken, R., Kaczer, B., Groeseneken, G., Asenov, A. (2017) Interaction Between Hot Carrier Aging and PBTI Degradation in nMOSFETs: Characterization, Modelling and Lifetime Prediction. (doi: 10.1109/IRPS.2017.7936419)

Duan, M., Zhang, J. F., Ji, Z., Zhang, W. D., Kaczer, B., Asenov, A. (2017) Key issues and solutions for characterizing hot carrier aging of nanometer scale nMOSFETs. IEEE Transactions on Electron Devices, 64, pp. 2478-2484. (doi: 10.1109/TED.2017.2691008)

Al-Ameri, T., Georgiev, V. P., Sadi, T., Wang, Y., Adamu-Lema, F., Wang, X., Amoroso, S. M., Towie, E., Brown, A., Asenov, A. (2017) Impact of quantum confinement on transport and the electrostatic driven performance of silicon nanowire transistors at the scaling limit. Solid-State Electronics, 129, pp. 73-80. (doi: 10.1016/j.sse.2016.12.015)

Lee, J., Sadi, T., Georgiev, V. P., Todri-Sanial, A., Asenov, A. (2017) A Hierarchical Model for CNT and Cu-CNT Composite Interconnects: From Density Functional Theory to Circuit-Level Simulations.

Jiang, X., Guo, S., Wang, R., Wang, Y., Wang, X., Cheng, B., Asenov, A., Huang, R. (2017) New Insights into the Near-Threshold Design in Nanoscale FinFET Technology for Sub-0.2V Applications. (doi: 10.1109/IEDM.2016.7838499)

Zhang, Z., Zhang, Z., Wang, R., Jiang, X., Guo, S., Wang, Y., Wang, X., Cheng, B., Asenov, A., Huang, R. (2017) New approach for understanding “random device physics” from channel percolation perspectives: Statistical simulations, key factors and experimental results. (doi: 10.1109/IEDM.2016.7838366)

Sadi, T., Wang, L., Asenov, A. (2017) Multi-Scale Electrothermal Simulation and Modelling of Resistive Random Access Memory Devices. (doi: 10.1109/PATMOS.2016.7833422)

Liang, J., Ramos, R., Dijon, J., Okuno, H., Kalita, D., Renaud, D., Lee, J., Georgiev, V.P., Berrada, S., Sadi, T., Asenov, A., Uhlig, B., Lilienthal, K., Dhavamani, A., Konemann, F., Gotsmann, B., Goncalves, G., Chen, B., Teo, K., Pandey, R. R., Todri-Sanial, A. (2017) A Physics-based Investigation of Pt-salt Doped Carbon Nanotubes for Local Interconnects. (doi: 10.1109/IEDM.2017.8268502)

Todri-Sanial, A., Ramos, R., Okuno, H., Dijon, J., Dhavamani, A., Widlicenus, M., Lilienthal, K., Uhlig, B., Sadi, T., Georgiev, V., Asenov, A., Amoroso, S., Pender, A., Brown, A., Millar, C., Motzfeld, F., Gotsmann, B., Liang, J., Goncalves, G., Rupesinghe, N., Teo, K. (2017) A survey of carbon nanotube interconnects for energy efficient integrated circuits. IEEE Circuits and Systems Magazine, 17, pp. 47-62. (doi: 10.1109/MCAS.2017.2689538)

Adamu-Lema, F., Duan, M., Berrada, S., Lee, J., Al-Ameri, T., Georgiev, V., Asenov, A. (2017) Modelling and simulation of advanced semiconductor devices. ECS Transactions, 80, pp. 33-42. (doi: 10.1149/08004.0033ecst)

Medina-Bailon, C., Sampedro, C., Padilla, J.L., Godoy, A., Donetti, L., Gamiz, F., Sadi, T., Georgiev, V., Asenov, A. (2017) Multi-subband Ensemble Monte Carlo Study of Tunneling Leakage mechanisms. (doi: 10.23919/SISPAD.2017.8085319)

Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F., Asenov, A. (2017) Position-Dependent Performance in 5 nm Vertically Stacked Lateral Si Nanowires Transistors.

Al-Ameri, T., Georgiev, V.P., Adamu-Lema, F., Asenov, A. (2017) Variability-Aware Simulations of 5 nm Vertically Stacked Lateral Si Nanowires Transistors.

2016

Georgiev, V. P., Mirza, M. M., Dochioiu, A.-I., Lema, F.-A., Amoroso, S. M., Towie, E., Riddet, C., MacLaren, D. A., Asenov, A., Paul, D. J. (2016) Experimental and Simulation Study of a High Current 1D Silicon Nanowire Transistor Using Heavily Doped Channels. (doi: 10.1109/NMDC.2016.7777084)

Al-Ameri, T., Georgiev, V.P., Lema, A., Sadi, T., Towie, E., Riddet, C., Alexander, C., Asenov, A. (2016) Performance of Vertically Stacked Horizontal Si Nanowires Transistors: A 3D Monte Carlo / 2D Poisson Schrodinger Simulation Study. (doi: 10.1109/NMDC.2016.7777117)

Sadi, T., Towie, E., Nedjalkov, M., Riddet, C., Alexander, C., Wang, L., Georgiev, V., Brown, A., Millar, C., Asenov, A. (2016) One-Dimensional Multi-Subband Monte Carlo Simulation of Charge Transport in Si Nanowire Transistors. (doi: 10.1109/SISPAD.2016.7605139)

Al-Ameri, T., Georgiev, V. P., Lema, F.-A., Sadi, T., Wang, X., Towie, E., Riddet, C., Alexander, C., Asenov, A. (2016) Impact of Strain on the Performance of Si Nanowires Transistors at the Scaling Limit: A 3D Monte Carlo/2D Poisson Schrodinger Simulation Study. (doi: 10.1109/SISPAD.2016.7605185)

Sadi, T., Wang, L., Asenov, A. (2016) Advanced Simulation of Resistance Switching in Si-rich Silica RRAM Devices. (doi: 10.1109/SNW.2016.7578049)

Duan, M., Zhang, J. F., Ji, Z., Zhang, W. D., Vigar, D., Asenov, A., Gerrer, L., Chandra, V., Aitken, R., Kaczer, B. (2016) Insight into electron traps and their energy distribution under positive bias temperature stress and hot carrier aging. IEEE Transactions on Electron Devices, 63, pp. 3642-3648. (doi: 10.1109/TED.2016.2590946)

Zhang, Z., Zhang, Z., Guo, S., Wang, R., Wang, X., Cheng, B., Asenov, A., Huang, R. (2016) Investigation on the Amplitude of Random Telegraph Noise (RTN) in Nanoscale MOSFETs: Scaling Limit of “Hole in the Inversion Layer” Model. (doi: 10.1109/ICSICT.2016.7998949)

Jiang, X., Guo, S., Wang, R., Wang, X., Cheng, B., Asenov, A., Huang, R. (2016) A device-level characterization approach to quantify the impacts of different random variation sources in FinFET technology. IEEE Electron Device Letters, 37, pp. 962-965. (doi: 10.1109/LED.2016.2581878)

Sadi, T., Wang, L., Gao, D., Mehonic, A., Montesi, L., Buckwell, M., Kenyon, A., Shluger, A., Asenov, A. (2016) Advanced physical modeling of SiOx resistive random access memories. (doi: 10.1109/SISPAD.2016.7605169)

Al-Ameri, T., Georgiev, V., Adamu-Lema, F., Asenov, A. (2016) Influence of Quantum Confinement Effects and Device Electrostatic Driven Performance in Ultra-Scaled SixGe1-x Nanowire Transistors. (doi: 10.1109/ULIS.2016.7440096)

Asenov, A., Wang, Y., Cheng, B., Wang, X., Asenov, P., Al-Ameri, T., Georgiev, V.P. (2016) Nanowire Transistor Solutions for 5NM and Beyond. (doi: 10.1109/ISQED.2016.7479212)

Wang, L., Sadi, T., Brown, A.R., Nedjalkov, M., Alexander, C., Cheng, B., Millar, C., Asenov, A. (2016) Simulation Analysis of the Electro-Thermal Performance of SOI FinFETs. (doi: 10.1109/ULIS.2016.7440051)

2015

Georgiev, V.P., Ali, T., Wang, Y., Gerrer, L., Amoroso, S.M., Asenov, A. (2015) Influence of Quantum Confinement Effects over Device Performance in Circular and Elliptical Silicon Nanowire Transistors. (doi: 10.1109/IWCE.2015.7301960)

Kivisaari, P., Sadi, T., Li, J., Georgiev, V., Oksanen, J., Rinke, P., Tulkki, J. (2015) Bipolar Monte Carlo Simulation of Hot Carriers In III-N LEDs. (doi: 10.1109/SISPAD.2015.7292342)

Georgiev, V. P., Amoroso, S. M., Gerrer, L., Adamu-Lema, F., Asenov, A. (2015) Interplay between quantum mechanical effects and a discrete trap position in ultrascaled FinFETs. (doi: 10.1109/SISPAD.2015.7292305)

Donetti, L., Sampedro, C., Gamiz, F., Godoy, A., Garcıa-Ruız, F. J., Towie, E., Georgiev, V., Amoroso, S. M., Riddet, C., Asenov, A. (2015) Multi-Subband Ensemble Monte Carlo Simulation of Si Nanowire MOSFETs. (doi: 10.1109/SISPAD.2015.7292332)

Wang, X., Cheng, B., Reid, D., Pender, A., Asenov, P., Millar, C., Asenov, A. (2015) FinFET centric variability-aware compact model extraction and generation technology supporting DTCO. IEEE Transactions on Electron Devices, 62, pp. 3139-3146. (doi: 10.1109/TED.2015.2463073)

Ding, J., Reid, D., Asenov, P., Millar, C., Asenov, A. (2015) Influence of transistors with BTI-induced aging on SRAM write performance. IEEE Transactions on Electron Devices, 62, pp. 3133-3138. (doi: 10.1109/TED.2015.2462319)

Wang, Y., Al-Ameri, T., Wang, X., Georgiev, V. P., Towie, E., Amoroso, S. M., Brown, A. R., Cheng, B., Reid, D., Riddet, C., Shifren, L., Sinha, S., Yeric, G., Aitken, R., Liu, X., Kang, J., Asenov, A. (2015) Simulation study of the impact of quantum confinement on the electrostatically driven oerformance of n-type nanowire transistors. IEEE Transactions on Electron Devices, 62, pp. 3229-3236. (doi: 10.1109/TED.2015.2470235)

Gerrer, L., Georgiev, V., Amoroso, S.M., Towie, E., Asenov, A. (2015) Comparison of Si <100> and <110> crystal orientation nanowire transistor reliability using Poisson–Schrödinger and classical simulations. Microelectronics Reliability, 55, pp. 1307-1312. (doi: 10.1016/j.microrel.2015.06.094)

Wang, L., Brown, A. R., Nedjalkov, M., Alexander, C., Cheng, B., Millar, C., Asenov, A. (2015) Impact of self-heating on the statistical variability in bulk and SOI FinFETs. IEEE Transactions on Electron Devices, 62, pp. 2106-2112. (doi: 10.1109/TED.2015.2436351)

Amoroso, S. M., Adamu-Lema, F., Brown, A. R., Asenov, A. (2015) A mobility correction approach for overcoming artifacts in atomistic drift-diffusion simulation of nano-MOSFETs. IEEE Transactions on Electron Devices, 62, pp. 2056-2060. (doi: 10.1109/TED.2015.2419815)

Jiang, X., Wang, J., Wang, X., Wang, R., Cheng, B., Asenov, A., Wei, L., Huang, R. (2015) New assessment methodology based on energy–delay–yield cooptimization for nanoscale CMOS technology. IEEE Transactions on Electron Devices, 62, pp. 1746-1753. (doi: 10.1109/TED.2015.2396575)

Asenov, A., Cheng, B., Wang, X., Brown, A. R., Millar, C., Alexander, C., Amoroso, S. M., Kuang, J. B., Nassif, S. R. (2015) Variability aware simulation based design- technology cooptimization (DTCO) flow in 14 nm FinFET/SRAM cooptimization. IEEE Transactions on Electron Devices, 62, pp. 1682-1690. (doi: 10.1109/TED.2014.2363117)

Georgiev, V. P., Amoroso, S. M., Ali, T. M., Vila-Nadal, L., Busche, C., Cronin, L., Asenov, A. (2015) Comparison between bulk and FDSOI POM flash cell: a multiscale simulation study. IEEE Transactions on Electron Devices, 62, pp. 680-684. (doi: 10.1109/TED.2014.2378378)

Wang, L., Sadi, T., Nedjalkov, M., Brown, A.R., Alexander, C., Cheng, B., Millar, C., Asenov, A. (2015) An Advanced Electro-Thermal Simulation Methodology for Nanoscale Device. (doi: 10.1109/IWCE.2015.7301989)

Adamu-Lema, F., Wang, X., Amoroso, S.M., Gerrer, L., Millar, C., Asenov, A. (2015) Comprehensive 'Atomistic' Simulation of Statistical Variability and Reliability in 14 nm Generation FinFETs.

Al-Ameri, T., Wang, Y., Georgiev, V.P., Adamu-Lema, F., Wang, X., Asenov, A. (2015) Correlation between Gate Length, Geometry and Electrostatic Driven Performance in Ultra-Scaled Silicon Nanowire Transistors. (doi: 10.1109/NMDC.2015.7439240)

Wang, X., Wang, Y., Towie, E., Cheng, B., Liu, X., Asenov, A. (2015) Discrete Dopant Impact on the 7 nm Nanowire Transistor Performance.

Wang, X., Reid, D., Wang, L., Burenkov, A., Millar, C., Lorenz, J., Asenov, A. (2015) Hierarchical Variability-Aware Compact Models of 20nm Bulk CMOS.

Georgiev, V., Asenov, A. (2015) Multi-scale computational framework for evaluating of the performance of molecular based flash cells. Lecture Notes in Computer Science, 8962, pp. 196-203. (doi: 10.1007/978-3-319-15585-2_22)

Sadi, T., Wang, L., Gerrer, L., Asenov, A. (2015) Physical Simulation of Si-Based Resistive Random-Access Memory Devices. (doi: 10.1109/SISPAD.2015.7292340)

Jiang, X., Wang, X., Wang, R., Cheng, B., Asenov, A., Huang, R. (2015) Predictive Compact Modeling of Random Variations in FinFET Technology for 16/14nm Node and Beyond. (doi: 10.1109/IEDM.2015.7409787)

Sadi, T., Wang, L., Gerrer, L., Georgiev, V., Asenov, A. (2015) Self-consistent physical modeling of SiOx-based RRAM structures. (doi: 10.1109/IWCE.2015.7301981)

Wang, J., Xiaobo, J., Wang, X., Wang, R., Cheng, B., Asenov, A., Wei, L., Huang, R. (2015) Variation-Aware Energy-Delay Optimization Method for Device/Circuit Co-Design. (doi: 10.1109/CSTIC.2015.7153331)


2021

C. Dam Vedel, E. Brugnolotto, S. Smidstrup and V. Georgiev, "Impact of different types of planar defects on current transport in Indium Phosphide (InP)," International Conference on Ultimate Integration of Silicon, ULIS 2021: Sept. 1, 2021.

Y. Guan, H. Nunes, V. Vihar. Georgiev, A. Asenov and F. Liang, "Quantum simulation investigation of work-function variation in nanowire tunnel FETs." Nanotechnology, Vol. 32, No. 15, Jan. 2021.

P. Lapham, L. Vila-Nadal, L. Cronin and V. Georgiev, "Influence of the contact geometry and counterions on the current flow and charge transfer in polyoxometalate molecular junctions: a density functional theory study," Journal of Physical Chemistry C, Vol. 125, No. 6, p. 3599, Feb. 2021.

C. Medina-Bailon, C. Padilla, C. Sampedro, L. Donetti, V. P Georgiev, F. Gamiz and A. Asenov, "Self-consistent enhanced S/D tunneling implementation in a 2D MS-EMC nanodevice simulator," Micromachines, Vol. 12, No. 6, p. 601, May 2021.

C. Medina-Bailon, T. Dutta, A. Rezaei, D. Nagy, F. Adamu-Lema, V. P. Georgiev and A. Asenov, "Simulation and Modeling of Novel Electronic Device Architectures with NESS (Nano-Electronic Simulation Software): A Modular Nano TCAD Simulation Framework," Micromachines, Vol. 12, No. 6, p. 680, 2021.

C. Medina-Balion, N. Kumar, R. P. S Dhar, I. Todorova, D. Lenoble, V. Georgiev and C. P. García, "Comprehensive analytical modelling of an absolute pH sensor," Sensors, Vol. 21, No. 15, p. 5190, Aug. 2021.

R. Pritam Singh Dhar, N. Kumar, C. Medina-Bailon, C. Pascual-Garcia and V. Georgiev, "TCAD Simulations of High-Aspect-Ratio Nano-biosensor for Label-Free Sensing Application," International Conference on Ultimate Integration of Silicon, ULIS, 2021: Sept. 2021.

2020

F. Adamu-Lema, C. Monzio Compagnoni, O. Badami, V. Georgiev and A. Asenov, "RTN and its intrinsic interaction with statistical variability sources in advanced nano-scale devices: a simulation study," in Noise in Nanoscale Semiconductor Devices. Berlin: Springer, 2020, pp. 441–466.

O. Badami, T. Sadi, F. Adamu-Lema, P. Lapham, D. Mu, D. Nagy, V. P Georgiev, J. Ding and A. Asenov, "A Kinetic Monte Carlo study of retention time in a POM molecule-based flash memory," IEEE Transactions on Nanotechnology, Vol. 19, pp. 704–710, 2020.

S. Berrada, H. Carrillo-Nunez, J. Lee, C. Medina-Bailon, T. Dutta, F. Adamu-Lema, O. Badami, V. Thirunavukkarasu, V. Georgiev and A. Asenov, "Nano-electronic Simulation Software (NESS): a flexible nano-device simulation platform," Journal of Computational Electronics, 2020.

H. Carrillo-Nunez, C. Medina-Balion, V. P. Georgiev and A. Asenon, "Full-band quantum transport simulation in presence of hole-phonon interactions using a mode-space k·p approach." Nanotechnology, Vol. 32, No. 2, p. 020001, Sept. 2020.

V. Georgiev, A. Sangupta, P. Maciaziek, O. Badami, C. Madina-Bailon, T. Dutta, F. Adamu-Lema and A. Asenov, "Simulation of gated GaAs-AlGaAs resonant tunneling diodes for tunable terahertz communication applications," 17th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): Kobe, 2020.

P. Lapham, O. Badami, C. Medina-Ballon, F. Adamu-Lema, T. Dutta, D. Nagy, V. Georgiev and A. Asenov, "A Combined First Principles and Kinetic Monte Carlo study of Polyoxometalate based Molecular Memory Devices," 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): 2020.

J. McGhee and V. P Georgiev, "Electronic and Optical Properties of Hydrogen-Terminated Diamond Doped by Molybdenum Oxide: A Density Functional Theory Study," 2020 International Conference on Numerical Simulation of Optoelectronic Devices: 2020.

J. McGhee and V. Georgiev, "First Principle Simulations of Electronic and Optical Properties of a Hydrogen Terminated Diamond Doped by a Molybdenum Oxide Molecule," 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): 2020.

J. McGhee and V. Georgiev, "Simulation Study of Surface Transfer Doping of Hydrogenated Diamond by MoO3 and V2O5 Metal Oxides," Micromachines, Vol. 11, No. 4, p. 433, Apr. 2020.

C. Medina-Bailon, H. Carrillo-Nunez, J. Lee, C. Sampedro, L. Padilla, L. Donetti, V. Georgiev, F. Gamiz and A. Asenov, "Quantum enhancement of a S/D tunneling model in a 2D MS-EMC nanodevice simulator: NEGF comparison and impact of effective mass variation," Micromachines, Vol. 11, No. 2, p. 204, 2020.

C. Medina-Bailon, O. Badami, H. Carrillo-Nunez, T. Dutta, F. Adamu-Lema, D. Nagy, V. Georgiev and A. Asenov, "Enhanced Capabilities of the Nano-Electronic Simulation Software (NESS)," 2020 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): Kobe, 2020.

C. Medina-Bailon, T. Dutta, F. Adamu-Lema, A. Razaei, D. Naggy and V. Georgiev, "Nano-Electronic Simulation Software (NESS): A Novel Open-Source TCAD Simulation Environment," Journal of Microelectronics Manufacturing, Vol. 3, No. 4, p. 20030407, 2020.

2019

O. Badami, C. Medina-Bailon, S. Berrada, H. Carrillo-Nunez, J. Lee, V. P. Georgiev and A. Asenov, "Comprehensive study of cross-section dependent effective masses for silicon based gate-all-around transistors," Applied Sciences, Vol. 9, No. 9, p. 1895, 2019.

H. Carrillo-Nunez, N. Dimitrova, A. Asenov and V. Georgiev, "Machine learning approach for predicting the effect of statistical variability in Si junctionless nanowire transistors," IEEE Electron Device Letters, Vol. 40, No. 9, 2019.

M. Duan, C. Navarro, B. Cheng, F. Adamu-Lema, X. Wang, V. P. Georgiev, F. Gamiz, C. Miller, S. Amoroso and A. Asenov, "Thorough understanding of retention time of Z2FET memory operation," IEEE Transactions on Electron Devices, Vol. 66, No. 1, pp. 383–388, 2019.

Y. Guan, Z. Li, H. Carrillo-Nunez, Y. Zhang, V. P Georgiev, A. Asenov and F. Liang, "An accurate analytical model for tunnel FET output characteristics." IEEE Electron Device Letters, Vol. 40, No. 6, p. 1001, 2019.

J. Liang, R. Cheng, R. Ramos, J. Lee, H. Okuno, V. P. Georgiev, S. Berrada, A. Asenov, J. Dejion and A. Todri-Sanial, "Investigation of Pt-salt-doped-standalone-multiwall carbon nanotubes for on-chip interconnect applications," IEEE Transactions on Electron Devices, 2019.

C. Medina-Bailon, T. Sadi, C. Sampedro, L. Padillla, L. Donetti, V. Georgiev, A. Asenov and F. Gamiz, "Impact of the trap attributes on the gate leakage mechanisms in a 2D MS-EMC nanodevice simulator." Numerical Methods and Applications. Series: Lecture Notes in Computer Science, p. 273, 2019.

C. Medina-Bailon, L. Paddila, C. Sampedro, A. Godoy, V. P. Georgiev, F. Gamiz and A. Asenov, "Multisubband ensemble Monte Carlo analysis of tunneling leakage mechanisms in ultrascaled FDSOI, DGSOI, and FinFET devices," IEEE Transactions on Electron Devices, Vol. 66, No. 3, p. 1145, 2019.

C. Medina-Ballon, T. Sadi, M. Nedjalkov, H. Carrillo-Nunez, J. H. Lee, O. Badami, V. Georgiev, S. Selberherr and A. Asenon, "Mobility of circular and elliptical si nanowire transistors using a multi-subband 1d formalism," IEEE Electron Device Letters, Vol. 40, No. 10, 2019.

T. Sadi, C. Medina-Ballon, M. Nedjalkov, J. Lee, O. Badami, S. Berrada, H. Carrillo-Nunez, V. P. Georgiev, S. Selberherr and A. Asenov, "Simulation of the Impact of Ionized Impurity Scattering on the Total Mobility in Si Nanowire Transistors," Materials, Vol. 12, No. 1, p. 124, 2019.

N. Xeni, R. Ghannam, F. Udama, V. Georgiev and A. Asenov, "Semiconductor Device Visualization using TCAD Software: Case Study for Biomedical Applications," IEEE UKCAS 2019: Dec. 2019.

2018

T. Al-Ameri, "Correlation between the Golden Ratio and Nanowire Transistor Performance," Applied Sciences, Vol. 8, No. 1, p. 54, Jan. 2018.

S. Berrada, J. Lee, H. Carrillo-Nunez, C. Medina-Bailon, F. Adamu-Lema, V. Georgiev and A. Asenov, "Quantum Transport Investigation of Threshold Voltage Variability in Sub-10 nm JunctionlessSi Nanowire FETs," 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): Sept. 2018.

S. Berrada, T. Dutta, H. Carrillo-Nunez, M. Duan, F. Adamu-Lema, J. Lee, V. Georgiev, C. Medina-Bailon and A. Asenov, "NESS: new flexible Nano-Electronic Simulation Software," 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): Sept. 2018.

H. Carrillo-Nunez, J. Lee, S. Berada, C. Medina-Bailon, F. Adamu-Lema, M. Luisier, A. Asenov and V. Georgiev, "Random Dopant-Induced Variability in Si-InAs Nanowire Tunnel FETs: A Quantum Transport Simulation Study," IEEE Electron Device Letters, Vol. 39, No. 9, pp. 1473–1476, 2018.

R. Chen, J. Liang, J. Lee, V. Georgiev, R. Ramos, H. Okuno, D. Kalita, Y. Cheng, L. Zhang, R. Pandey, S. Amoroso, C. Millar, A. Asenov and A. Todri-Sanial, "Variability study of MWCNT local interconnects considering defects and contact resistances - Part II: impact of charge transfer doping," IEEE Transactions on Electron Devices, Vol. 65, No. 11, pp. 4963–4970, 2018.

R. Chen, J. Liang, J. Lee, V. P. Georgiev, R. Ramos, H. Okuno, D. Kalita, Y. Cheng, L. Zhang, R. Pandey, S. Amoroso, C. Millar, A. Asenov and A. Todri-Sanial, "Variability study of MWCNT local interconnects considering defects and contact resistances - Part I: pristine MWCNT." IEEE Transactions on Electron Devices, Vol. 65, No. 11, pp. 4955–4962, 2018.

T. Dutta, V. Georgiev and A. Asenov, "Random Discrete Dopant Induced Variability in Negative Capacitance Transistors," 2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS): Mar. 2018.

H. Garrillio-Nunez, M. Mirza, D. Paul, D. MacLaren, A. Asenov and V. Georgiev, "Impact of Randomly Distributed Dopants on Omega-Gate Junctionless Silicon Nanowire Transistors," IEEE Trans. Electron Dev. Vol. 65, No. 5, Apr. 2018.

V. Georgiev, "Development of Hierarchical Simulation Framework for Design and Optimization of Molecular Based Flash Cell." 2018 76th Device Research Conference (DRC), Santa Barbara: June 2018.

J. Lee, C. Medina-Bailon, S. Berrada, H. Carrillo-Nunez, T. Sadi, V. Georgiev, M. Nedjalkov and A. Asenov, "A Multi-Scale Simulation Study of the Strained Si Nanowire FETs," In: 2018 IEEE 13th Nanotechnology Materials & Devices Conference (NMDC 2018): Oct. 2018.

J. Lee, M. Lamarche and V. Georgiev, "The First-Priniple Simulation Study on the Specific Grain Boundary Resistivity in Copper Interconnects," 2018 IEEE 13th Nanotechnology Materials & Devices Conference (NMDC 2018): 2018.

J. Lee, M. Lamarche and V. Georgiev, "Challenges and Progress on Carbon Nanotube Integration for BEOL Interconnects," 2018 IEEE 13th Nanotechnology Materials & Devices Conference (NMDC 2018): 2018.

J. Lee, O. Badami, H. Carrilo-Nunez, S. Berrada, C. Medina-Bailon, T. Dutta, F. Adamu-Lema, V. P. Georgiev and A. Asenov, "Variability predictions for the next technology generations of n-type SixGe1-x nanowire MOSFETs," Micromachines, Vol. 9, No. 12, p. 643, 2018.

J. Lee, S. Berada, F. Adamu-Lema, N. Nagy, V. Georgiev, T. Sadi, J. Liang, R. Ramos, H. Carrillo-Nunez, D. Kalita, K. Lilenthal, M. Wisliceus, R. Pandey, B. Chen, K. Teo, G. Goncalves, H. Okuno, B. Uhlig, A. Tordi-Sanial, J. Dijon and A. Asenon, "Understanding Electromigration in Cu-CNT Composite Interconnects: A Multiscale Electrothermal Simulation Study," IEEE Transactions on Electron Devices, Vol. 65, No. 9, pp. 3384–3892, Sept. 2018.

J. Liang, J. Lee, S. Berrada, V. Georgiev, R. Pandey, R. Chen, A. Asenov and A. Todri-Sanial, "Atomistic to circuit-level modeling of doped SWCNT for on-chip interconnects," IEEE Transactions on Nanotechnology, 2018.

C. Medina-Bailon, T. Sadi, M. Nedjalkov, J. Lee, S. Berrada, H. Carrillo-Nunez, V. Georgiev, S. Selberherr and A. Asenov, "Study of the 1D Scattering Mechanisms' Impact on the Mobility in Si Nanowire Transistors." 2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS): Mar. 2018.

2017

F. Adamu-Lema, M. Duan, S. Berrada, J. Lee, T. Al-Ameri, V. Georgiev and A. Asenov, "Modelling and Simulation of Advanced Semiconductor Devices," ECS Transaction, Vol. 80, No. 4, pp. 33–42, 2017.

T. Al-Ameri, V. P. Georgiev, T. Sadi, Y. Wang, F. Adamu-Lema, X. Wang, S. M. Amoroso, E. Towie, A. R. Brown and A. Asenov, "Impact of Quantum Confinement on Transport and the Electrostatic Driven Performance of Silicon Nanowire Transistors at the Scaling Limit," Solid State Electronics, Vol. 129, pp. 73–80, Mar. 2017.

T. Al-Ameri, V. P. Georgiev, F. Adamu-Lema and A. Asenov, "Simulation Study of Vertically Stacked Lateral Si Nanowires Transistors for 5 nm CMOS Applications," IEEE Journal of the Electron Devices Society, Vol. 5, No. 6, pp. 466–472, Sept. 2017.

T. Al-Ameri, V. Georgiev, F. Adamu-Lema and A. Asenov, "Position-Dependent Performance in 5 nm Vertically Stacked Lateral Si Nanowires Transistors," International Workshop on Computational Nanotechnolgy: 2017.

T. Al-Ameri, V. Vihar. Georgiev, F. Adamu-Lema and A. Asenov, "Variability-aware Simulations of 5 nm Vertically Stacked Lateral Si Nanowires Transistors," International Workshop on Computational Nanotechnolgy: 2017.

T. Al-Ameri, V. Georgiev, F. Adamu-Lema and A. Asenov, "Does a Nanowire Transistor Follow the Golden Ratio? A 2D Poisson-Schrödinger/3D Monte Carlo Simulation Study," Simulation of Semiconductor Processes and Devices (SISPAD), 2017 International Conference on: 2017.

M. Duan, F. Adamu-Lema, B. Chen, C. Navaro, X. Wang, F. Gamiz, C. Miller, V. Georgiev and A. Asenov, "2D-TCAD Simulation on Retention Time of Z2FET for DRAM Application," Simulation of Semiconductor Processes and Devices (SISPAD), 2017 International Conference on: 2017.

V. P. Georgiev, M. Mirza, A.-I. Dochioiu, F. Adamu-Lema, S. M. Amoroso, E. Towie, C. Riddet, D. MacLaren, A. Asenov and D. J. Paul, "Experimental and simulation study of silicon nanowire transistors using heavily doped channels," IEEE Transactions on Nanotechnology, Vol. 16, No. 5, pp. 727–735, Feb. 2017.

J. Lee, J. Liang, S. Amoroso, T. Sadi, L. Wang, P. Asenov, A. Pender, D. Reid, V. Georgiev, C. Millar and A. Asenov, "Atoms-to-circuits simulation investigation of CNT interconnects for next generation CMOS technology," Simulation of Semiconductor Processes and Devices (SISPAD), 2017 International Conference on: 2017.

J. Lee, S. Berrada, J. Liang, T. Sadi, V. Georgiev, A. Todri-Sanial, D. Kalita, R. Ramos, H. Okuno, J. Dijon and A. Asenov, "The Impact of Vacancy Defects on CNT Interconnects: From Statistical Atomistic Study to Circuit Simulations," Simulation of Semiconductor Processes and Devices (SISPAD), 2017 International Conference on: 2017.

J. Lee, T. Sadi, J. Liang, V. Georgiev, A. Todri-Sanial and A. Asenov, "A hierarchical model for CNT and Cu-CNT composite interconnects: from density functional," International Workshop on Computational Nanotechnolgy: 2017.

J. Liang, R. Ramos, H. Okuno, D. Renaud, J. Lee, V. Georgiev, S. Berrada, T. Sadi, A. Asenov, B. Uhling, K. Lilienthal, A. Dhavamani, B. Könemann, F. Gotsmann, G. Goncalves, B. Cheng, K. Teo, R. R. pandey and A. Todri-Sanial, "A Physics-Based Investigation of Pt-Salt Doped Carbon Nanotubes for Local Interconnects," IEEE International Electron Devices Meeting (IEDM): Dec. 2017.

J. Liang, J. Lee, S. Berrada, A. Asenov, A. Azemard-Crestani, V. Georgiev and A. Todri-Sanial, "Atomistic to Circuit Level Modeling of Defective Doped SWCNTs with Contacts for On-Chip Interconnect Application," 10th IEEE Nanotechnology Materials and Devices Conference (NMDC): Oct. 2017.

C. Medina-Ballon, T. Sadi, C. Sampedro, J. Padilla, A. Godoy, L. Donetti, V. P. Georgiev, F. Gamiz and A. Asenov, "Browse Conferences > Ultimate Integration on Silic... Assessment of gate leakage mechanism utilizing Multi-Subband Ensemble Monte Carlo," 2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS): 2017.

V. Thirunavukkarasu, J. Lee, T. Sadi, V. P. Georgiev, F.-A. Lema, K. Soundarapandian, Y.-R. Yang, Y.-R. Lin, E. Kurniawan, Y.-C. Wu and A. Asenov, "Investigation of inversion, accumulation and junctionless mode bulk Germanium FinFETs," Superlattices and Microstructures, Vol. 111, pp. 649–655, July 2017.

A. Todri-Sanial, R. Ramos, H. Okuno, J. Dijon, A. Widlicenus, K. Lilienthal, B. Uhlig, T. Sadi, V. Georgiev, A. Asenov, S. Amoroso, A. Pender, A. Brown, C. Miller, F. Motzfeld, B. Gotsmann, J. Liang, G. Goncalves, N. Rupesinghe and K. Teo, "A survey of carbon nanotube interconnects for energy efficient integrated circuits," IEEE Circuits and Systems Magazine, Vol. 17, No. 2, pp. 47–62, 2017.

X. Wang, V. P. Georgiev, F. Adamu-Lema, L. Gerrer, S. M. Amoroso, and A. Asenov, "TCAD-based design technology co-optimization for variability in nanoscale SOI FinFETs," in Integrated Nanodevice and Nanosystem Fabrication: Materials, Techniques, and New Opportunities, 1st ed., S. Deleonibus, Ed. Pan Stanford Publishing, 2017,

Z. Zhang, Z. Zhang, S. Guo, R. Wang, X. Wang, B. Cheng, A. Asenov and R. Huang, "Comparative Study on RTN Amplitude in Planar and FinFET Devices," in Proc. Electron Devices Technology and Manufacturing Conference (EDTM), Toyama Japan, Feb. 28-Mar. 2, 2017, p. x1–x2.

2016

T. Al-Ameri, V. P. Georgiev, F. Adamu-Lema and A. Asenov, "Influence of Quantum Confinement Effects and Device Electrostatic Driven Performance in Ultra-Scaled SixGe1-Nanowire Transistors," EUROSOI-ULIS 2016 Joint International Conference: Jan. 1, 2016.

T. Al-Ameri, V. P. Georgiev, F. Adamu-Lema, T. Sadi, X. Wang, E. Towie, C. Riddet, C. Alexander and A. Asenov, "Impact of strain on the performance of Si nanowires transistors at the scaling limit: A 3D Monte Carlo/2D poisson schrodinger simulation study," International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 2016: Sept. 2016.

T. Al-Ameri, V. P. Georgiev, F. Adamu-Lema, X. Wang and A. Asenov, "Influence of quantum confinement effects and device electrostatic driven performance in ultra-scaled SixGe1-x nanowire transistors," Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS): Jan. 25-27, 2016.

A. Asenov, Y. Wang, B. Cheng, X. Wang, P. Asenov, T. Al-Ameri and V. Georgiev, "Nanowire transistor solutions for 5nm and beyond," in Proc. 17th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, Mar. 15-16, 2016, pp. 269–274.

M. Duan, J. Zhang, Z. Ji, W. Zhang, D. Vigar, A. Asenov, L. Gerrer, V. Chandra, R. Aitken and B. Kaczer, "Insight Into Electron Traps and Their Energy Distribution Under Positive Bias Temperature Stress and Hot Carrier Aging," IEEE Transactions on Electron Device, Vol. 63, No. 9, Sept. 2016.

V. P. Georgiev, M. Mrza, A.-I. Dochioiu, F. Adamu-Lema, S. M. Amoroso, E. Towie, C. Riddet, D. MacLaren, A. Asenov and D. Paul, "Experimental and simulation study of a high current 1D silicon nanowire transistor using heavily doped channels," IEEE Nanotechnology Materials and Devices Conference (NMDC): Sept. 2016.

X. Jiang, S. Guo, R. Wang, X. Wang, B. Cheng, A. Asenov and R. Huang, "A Device-Level Characterization Approach to Quantify the Impacts of Different Random Variation Sources in FinFET Technology," IEEE Electron Device Letters, Vol. 37, No. 8, pp. 962–965, Aug. 2016.

X. Jiang, S. Guo, R. Wang, Y. Wang, X. Wang, B. Cheng, A. Asenov and R. Huang, "New Insights into the Near-Threshold Design in Nanoscale FinFET Technology for Sub-0.2V Applications," in Proc. International Electron Devices Meeting (IEDM), San Francisco, CA, USA, Dec. 5-9, 2016, pp. 695–698.

X. Jiang, R. Wang, R. Huang, X. Wang, B. Cheng and A. Asenov, "A Simple Method to Decompose the Amplitudes of Different Random Variation Sources in FinFET Technology," in Proc. 7th IEEE International Nanoelectronics Conference (INEC), Chengdu China, May 9-11, 2016, p. x1–x2.

P. Kivisaari, T. Sadi, J. Oksanen and J. Tulkki, "Monte Carlo study of non-quasiequilibrium carrier dynamics in III–N LEDs," Optical and Quantum Electronics, Vol. 48, p. 154, Jan. 2016.

P. Kivisaari, T. Sadi, J. Oksanen and J. Tulkki, "Bipolar non-quasiequilibrium carrier dynamics in III-N LEDs," SPIE Photonics West: Feb. 2016.

T. Sadi, E. Towie, M. Nedjalkov, C. Riddet, L. Wang, C. Alexander, V. P. Georgiev, A. R. Brown, C. Millar and A. Asenov, "One-dimensional multi-subband Monte Carlo simulation of charge transport in Si nanowire transistors," 19th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): 2016.

X. Wang, D. Reid, L. Wang, C. Millar, A. Burenkov, P. Evanschitzky, E. Baer, J. Lorenz and A. Asenov, "Process Informed Accurate Compact Modelling of 14-nm FinFET Variability and Application to Statistical 6T-SRAM Simulations," in Proc. 21st International Conference on Simulation of Semicondutor Processes and Devices (SISPAD), Nuremberg Germany, Sept. 6-8, 2016, pp. 303–306.

Y. Wang, B. Cheng, X. Wang, E. Towie, C. Riddet, A. R. Brown, S. M. Amoroso, L. Wang, D. Reid, X. Liu, J. Kang and A. Asenov, "Variability-aware TCAD Based Design-Technology Co-Optimization Platform for 7nm Node Nanowire and Beyond," in Proc. Symposium on VLSI Technology Digest of Technical Papers (VLSI-Tech), Honolulu HI USA, June 13-16, 2016, pp. 174–175.

Z. Zhang, Z. Zhang, S. Guo, R. Wang, X. Wang, B. Cheng, A. Asenov and R. Huang, "Investigation on the Amplitude of Random Telegraph Noise (RTN) in Nanoscale MOSFETs - Scaling Limit of "Hole in the Inversion Layer" Model," in Proc. 13th International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Hangzhou China, Oct. 25-28, 2016, p. S28-5.1–S28-5.3.

Z. Zhang, Z. Zhang, R. Wang, X. Jiang, S. Guo, Y. Wang, X. Wang, B. Cheng, A. Asenov and R. Huang, "New Approach for Understanding “Random Device Physics” from Channel Percolation Perspectives: Statistical Simulations, Key Factors and Experimental Results," in Proc. International Electron Devices Meeting (IEDM), San Francisco, CA, USA, Dec. 5-7, 2016, pp. 172–175.

2015

F. Adamu-Lema, X. Wang, S. M. Amoroso, L. Gerrer, C. Millar and A. Asenov, "Comprehensive ’Atomistic’ Simulation of Statistical Variability and Reliability in 14 nm Generation FinFETs," in Proc. 20th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Washington DC, Sept. 9-11, 2015, pp. 157–160.

T. Al-Ameri, Y. Wang, V. P. Georgiev, F. Adamu-Lema, X. Wang and A. Asenov, "Correlation between Gate Length, Geometry and Electrostatic Driven Performance in Ultra-Scaled Silicon Nanowire Transistors," in Proc. 10th IEEE Nanotechnology Materials and Devices Conference (NMDC), Anchorage AK, Sept. 13-16, 2015, pp. 30–34.

S. M. Amoroso, F. Adamu-Lema, A. R. Brown and A. Asenov, "A Mobility Correction Approach for Overcoming Artifacts in Atomistic Drift-Diffusion Simulation of Nano-MOSFETs," IEEE Trans. Electron Devices, Vol. 62, No. 6, pp. 2056–2060, 2015.

A. Asenov, B. Cheng, X. Wang, A. R. Brown, C. Millar, C. Alexander, S. M. Amoroso, J. B. Kuang and S. Nassif, "Variability Aware Simulation Based Design-Technology Cooptimization (DTCO) Flow in 14 nm FinFET/SRAM Cooptimization," IEEE Transactions on Electron Devices, Vol. 62, No. 6, pp. 1682–1690, June 2015.

L. Donetti, C. Sampedro, F. Gámiz, A. Godoy, J. F. Garcia-Ruiz, E. Towie, V. P. Georgiev, S. M. Amoroso,, C. Riddet and A. Aseov, "Multi-Subband Ensemble Monte Carlo Simulation of Si Nanowire MOSFETs," in Proc. 20th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Sept. 9-11, 2015, pp. 353–356.

V. P. Georgiev and A. Asenov, "Multi-scale Computational Framework for Evaluating of the Performance of Molecular Based Flash Cells," Numerical Methods and Applications Lecture Notes in Computer Science, Vol. 8962, pp. 196–203, 2015.

V. Georgiev, S. M. Amoroso, L. Gerrer, F. Adamu-Lema and A. Aseov, "Interplay between quantum mechanical effects and a discrete trap position in ultra-scaled FinFETs," in Proc. International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 2015, Sept. 9-11, 2015, pp. 246–249.

R. Hussin, L. Gerrer, S. Maria Amoroso, L. Wang, P. Weckx, J. Franco, A. Vanderheyden, D. Vanhaeren, N. Horiguchi, B. Kaczer and A. Asenov, "TCAD-based Methodology for Reliability Assessment of nanoscaled MOSFETs," 11th Conference on Ph.D. Research in Microelectronics and Electronics, 2015: University of Glasgow, Scotland, June 29-July 2, 2015.

R. Hussin, L. Gerrer, J. Ding, S. Maria Amaroso, L. Wang, M. Semicic, P. Weckx, J. Franco, A. Vanderheyden, D. Vanhaeren, N. Horiguchi, B. Kaczer and A. Asenov, "Reliability aware Simulation Flow: From TCAD Calibration to Circuit Level Analysis," International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2015: Washington DC, USA, Sept. 9-11, 2015.

X. Jiang, J. Wang, X. Wang, R. Wang, B. Cheng, A. Asenov, L. Wei and R. Huang, "New Assessment Methodology Based on Energy–Delay–Yield Cooptimization for Nanoscale CMOS Technology," IEEE Transactions on Electron Devices, Vol. 62, No. 6, pp. 1746–1753, June 2015.

X. Jiang, X. Wang, R. Wang, B. Cheng, A. Asenov and R. Huang, "Predictive Compact Modeling of Random Variations in FinFET Technology for 16/14nm Node and Beyond," in Proc. International Electron Devices Meeting (IEDM), Washington DC, Dec. 7-9, 2015, p. 28.3.1–28.3.4.

P. Kivisaari, T. Sadi, J. Li, V. P. Georgiev, J. Oksanen, P. Rinke and J. Tulkki, "Bipolar Monte Carlo Simulation of Hot Carriers In III-N LEDs," in Proc. 20th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Sept. 9-11, 2015, pp. 393–396.

P. Kivisaari, T. Sadi, J. Oksanen, J. Li, P. Rinke and J. Tulkki, "Bipolar Monte Carlo Simulation of Hot Carriers in III-N LEDs," 18th International Workshop on Computational Electronics: Sept. 2-4, 2015.

P. Kivisaari, T. Sadi, J. Oksanen, J. Li, P. Rinke and J. Tulkki, "Bipolar Monte Carlo simulation of hot electrons and holes in III-N LEDs," in Proc. Numerical Simulation of Optoelectronic Devices, Sept. 7-11, 2015,

P. Kivisaari, T. Sadi, J. Oksanen and J. Tulkki, "Monte Carlo simulation of hot carrier transport in III-N LEDs," Journal of Computational Electronics, Vol. 14, No. 2, pp. 382–397, 2015.

P. Kivisaari, T. Sadi, J. Oksanen and J. Tulkki, "Bipolar Monte Carlo simulation of electrons and holes in Nitride LEDs," in Proc. SPIE Photonics West, ser. Gallium Nitride Materials and Devices X, vol. 93631, San Francisco, California, USA, 2015,

T. Sadi, L. Wang, L. Gerrer, V. Georgiev and A. Asenov, "Self-Consistent Physical Modeling of SiOx-Based RRAM Structures," in Proc. 18th International Workshop on Computational Electronics, Sept. 2-4, 2015,

T. Sadi, L. Wang, L. Gerrer and A. Asenov, "Physical Simulation of Si-Based Resistive Random-Access Memory Devices," in Proc. 20th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Sept. 9-11, 2015,

T. Sadi, P. Kivisaari, J. Oksanen and J. Tulkki, "Microscopic simulation of hot electron transport in III-N LEDs," Optical.and Quantum Electronics, Vol. 47, No. 6, pp. 1509–1518, 2015.

T. Sadi, J. Oksanen and J. Tulkki, "Optimized plasmonic light emission enhancement in III-N quantum-well emitters," in Proc. SPIE Photonics West, ser. Physics and Simulation of Optoelectronic Devices XXIII, vol. 9357, San Francisco, California, USA, 2015,

L. Wang, A. R. Brown, M. Nedjalkov, C. Alexaner, B. Cheng, C. Millar and A. Asenov, "Impact of Self-Heating on the Statistical Variability in Bulk and SOI FinFETs," IEEE Transactions on Electron Devices, Vol. 62, No. 7, pp. 2106–2112, July 2015.

L. Wang, A. R. Brown, M. Nedjalkov, C. Alexander, B. Cheng, C. Millar and A. Asenov, "3D Electro-Thermal Simulations of Bulk FinFETs with Statistical Variations," in Proc. 20th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Washington DC, Sept. 9-11, 2015, pp. 112–115.

L. Wang, T. Sadi, M. Nedjalkov, A. R. Brown, C. Alexander, B. Cheng, C. Millar and A. Asenov, "An Advanced Electro-Thermal Simulation Methodology For Nanoscale Device," in Proc. 18th International Workshop on Computational Electronics, West Lafeyette, USA, Sept. 2-4, 2015, pp. 1–4.

X. Wang, B. Cheng, D. Reid, A. Pender, P. Asenov, C. Millar and A. Asenov, "FinFET Centric Variability-Aware Compact Model Extraction and Generation Technology Supporting DTCO," IEEE Transactions on Electron Devices, Vol. 62, No. 10, pp. 3139–3146, Oct. 2015.

X. Wang, D. Reid, L. Wang, A. Burenkov, C. Millar, J. Lorenz and A. Asenov, "Hierarchical Variability-Aware Compact Models of 20nm Bulk CMOS," in Proc. 20th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Washington DC, Sept. 9-11, 2015, pp. 325–328.

X. Wang, Y. Wang, E. Towie, B. Cheng, X. Liu and A. Asenov, "Discrete Dopant Impact on the 7 nm Nanowire Transistor Performance," in Proc. 2015 International Conference on Solid State Devices and Materials (SSDM), Sapporo Japan, Sept. 27-30, 2015, pp. 84–85.

Y. Wang, T. Al-Ameri, X. Wang, V. P. Georgiev, E. Towie, S. M. Amoroso, A. R. Brown, B. Cheng, D. Reid, C. Riddet, L. Shifren, S. Sinha, G. Yeric, R. Aitken, X. Liu, J. Kang and A. Asenov, "Simulation Study of the Impact of Quantum Confinement on the Electrostatically Driven Performance of n-type Nanowire Transistors," IEEE Transactions on Electron Devices, Vol. 62, No. 10, pp. 3229–3236, Oct. 2015.

2014

F. Adamu-Lema, S. M. Amoroso, X. Wang, B. Cheng, L. Shifren, R. Aitken, S. Sinha, G. Yeric and A. Asenov, "The Discrepancy Between the Uniform and Variability Aware Atomistic TCAD Simulations of Decananometer Bulk MOSFETs and FinFETs," in Proc. 19th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Yokohama Japan, Sept. 8-11, 2014, pp. 285–288.

F. Adamu-Lema, X. Wang, S. M. Amoroso, C. Riddet, B. Cheng, L. Shifren, R. Aitken, S. Sinha, G. Yeric and A. Asenov, "Performance and variability of doped multi-threshold FinFETs for 10nm CMOS," IEEE Transactions on Electron Devices, Vol. 61, No. 10, pp. 3372–3378, Oct. 2014.

S. M. Amoroso, V. P. Georgiev, E. Towie, C. Riddet and A. Asenov, "Metamorphosis of a nano wire: A 3-D coupled mode space NEGF study," Computational Electronics (IWCE), 2014 International Workshop on: June 2014.

S. M. Amoroso, V. P. Georgiev, L. Gerrer, E. Towie, X. Wang, C. Riddet, A. R. Brown and A. Asenov, "Inverse Scaling Trends for Charge-Trapping-Induced Degradation of FinFETs Performance," IEEE Trans. Electron Dev. Vol. 61, No. 12, pp. 4014–4018, Oct. 2014.

A. Asenov, F. Adamu-Lema, X. Wang and S. M. Amoroso, "Problems with the continuous doping TCAD simulations of decananometer CMOS transistors," IEEE Transactions on Electron Devices, Vol. 61, No. 8, pp. 2745–2751, Aug. 2014.

A. Asenov, B. Cheng, F. Adamu-Lema, L. Shifren, S. Sinha, C. Riddet, C. L. Alexander, A. R. Brown, X. Wang and S. M. Amoroso, "Predictive Simulation of Future CMOS Technologies and Their Impact on Circuits," in Proc. IEEE 12th International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Guilin China, Oct. 28-31, 2014, pp. 1411–1414.

A. Asenov, U. Schlichtmann, C. M. Tan, H. Wong and X. Zhou, "Special section reliability and variability of devices for circuits and systems," Microelectronics Reliability, Vol. 54, No. 6, p. 1054, July 2014.

A. Asenov, "Factoring variability in the Design/Technology Co Optimisation (DTCO) in advanced CMOS," in Proc. 2014 19th IEEE European Test Symposium (ETS), May 2014, p. 1.

C. Busche, L. Vila-Nadal, J. Yan, H. N. Miras, D.-L. Long, V. P. Georgiev, A. Asenov, R. H. Pedersen, N. Gadegaard, M. Mirza, D. J. Paul, J. M. Poblet and L. Cronin, "Design and fabrication of memory devices based on nanoscale polyoxometalate clusters," Nature, Nov. 2014.

J. Ding, D. Reid, P. Asenov, C. Millar and A. Asenov, "Evaluating the impact of ageing on SRAM stability using accurate statistical Compact Models," in Proc. Designing with Uncertainty - Opportunities & Challenges workshop (2014), York, UK, Mar. 17-19, 2014,

M. Duan, J. F. Zhang, Z. Ji, W. D. Zhang, B. Kaczer, T. Schram, R. Ritzenthaler, G. Groeseneken and A. Asenov, "Development of a Technique for Characterizing Bias Temperature Instability-Induced Device-to-Device Variation at SRAM-Relevant Conditions," IEEE Transactions on Electron Devices, Vol. 61, No. 9, pp. 3081–3088, Sept. 2014.

M. Duan, J. F. Zhang, Z. Ji, W. D. Zhang, B. Kaczer, T. Schram, R. Ritzenthaler, A. Thean, G. Groeseneken and A. Asenov, "Time-dependent variation: A new defect-based prediction methodology," in Proc. 2014 Symposium on VLSI Technology, 2014, pp. 1–2.

V. P. Georgiev, S. Markov, L. Vila-Nadal, C. Busche, L. Cronin and A. Asenov, "Optimization and Evaluation of Variability in the Programming Window of a Flash Cell With Molecular Metal-Oxide Storage," IEEE Trans. Electron Devices, Vol. 61, No. 6, pp. 2019–2026, Apr. 2014.

V. P. Georgiev, S. M. Amoroso, L. Vila-Nadal, C. Busche, L. Cronin and A. Asenov, "FDSOI molecular flash cell with reduced variability for lo power flash applications," in Proc. 44th European Solid-State Device Research Conference (ESSDERC), Venice, Italy, Sept. 22-26, 2014, pp. 353–356.

V. P. Georgiev, S. M. Amoroso, T. M. Ali, L. Vila-Nadal, C. Busche, L. Cronin and A. Asenov, "Comparison Between Bulk and FDSOI POM Flash Cell: A Multiscale Simulation Study," IEEE Trans. Electron. Dev. Vol. 62, No. 2, pp. 680–684, 2014.

R. Hussin, S. M. Amoroso,, L. Gerrer, B. Kaczer, P. Weckx, J. Franco, A. Vanderheyden, D. Vanhaeren, N. Horiguchi and A. Asenov, "Interplay Between Statistical Variability and Reliability in Contemporary pMOSFETs: Measurements versus Simulations," IEEE Transactions on Electron Devices, Vol. 61, No. 9, pp. 3265–3273, Sept. 2014.

J. Lorenz, E. Baer, A. Burenkov, P. Evanschitzky, A. Asenov, L. Wang, X. Wang, A. R. Brown, C. Millar and D. Reid, "Simultaneous Simulation of Systematic and Stochastic Process Variations," in Proc. 19th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Yokohama Japan, Sept. 8-11, 2014, pp. 289–292.

S. Markov, B. Cheng, A. SM. Zain and A. Asenov, "Understanding variability in complementary metal oxide semiconductor (CMOS) devices manufactured using silicon-on-insulator (SOI) technology," Silicon-On-Insulator (SOI) Technology: Manufacture and Applications, pp. 212–242, June 2014.

C. Sampedro, L. Donetti, F. Gámiz, A. Godoy, J. F. Garcia-Ruiz, G, S. M. Amoroso, C. Riddet, E. Towie and A. Asenov, "3D Multi-Subband Ensemble Monte Carlo Simulator of FinFETs and Nanowire Transistors," Simulation of Semiconductor Processes and Devices (SISPAD), 2014.

J. M. Sellier, S. M. Amoroso, M. Nedjalkov, S. Selberherr, A. Asenov and I. Dimov, "Electron dynamics in nanoscale transistors by means of Wigner and Boltzmann approaches," Physica A: Statistical Mechanics and its Applications, Vol. 398, pp. 194–198, Mar. 2014.

L. Shifren, R. Aitken, A. R. Brown, V. Chandra, B. Cheng, C. Riddet, C. L. Alexander, B. Cline, C. Millar, S. Sinha, G. Yeric and A. Asenov, "Predictive simulation and benchmarking of Si and Ge pMOS FinFETs for future CMOS technology," IEEE Transactions on Electron Devices, Vol. 61, No. 7, pp. 2271–2277, July 2014.

L. Wang, A. R. Brown, C. Millar, A. Burenkov, X. Wang, A. Asenov and J. Lorenz, "Simulation for statistical variability in realistic 20nm MOSFET," in Proc. 15th International conference on Ultimate Integration on Silicon (ULIS), Stockholm, Sweden, Apr. 7-9, 2014, pp. 5–9.

L. Wang, A. R. Brown, B. Cheng and A. Aseov, "Simulation of 3D FinFET Doping Profiles Introduced by Ion Implantation and the Impact on Device Performance," in Proc. 20th International Conference on Ion Implantation, Portland, Oregon, USA, June 26-July 4, 2014, pp. 1–4.

L. Wang, A. R. Brown, M. Nedjalkov, C. Alexander, B. Cheng, C. Millar and A. Asenov, "3D Coupled Electro-Thermal FinFET Simulations Including the Fin Shape Dependence of the Thermal Conductivity," in Proc. 2014 International Conference on Simulation of Semiconductor Processes and Devices, Yokohama, Japan, Sept. 9-11, 2014, pp. 269–272.

L. Wang, A. R. Brown, M. Nedjalkov, C. Alexander, B. Cheng, C. Millar and A. Asenov, "3D Coupled Electro-Thermal Simulations for SOI FinFET with Statistical Variations Including the Fin Shape Dependence of the Thermal Conductivity," in Proc. 2014 IEEE 12th International Conference on Solid-State and Integrated Circuit Technology, Guilin, China, Oct. 28-31, 2014, pp. 1–3.

X. Wang, A. R. Brown, B. Cheng, S. Roy and A. Asenov, "Drain Bias Effects on Statistical Variability and Reliability and Related Subthreshold Variability in 20-nm Bulk Planar MOSFETs," Solid-State Electronics, Vol. 98, pp. 99–105, Aug. 2014.

X. Wang, D. Reid, L. Wang, A. Burenkov, C. Millar, B. Cheng, A. Lange, J. Lorenz, E. Baer and A. Asenov, "Variability-Aware Compact Model Strategy for 20-nm Bulk MOSFETs," in Proc. 19th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Yokohama Japan, Sept. 8-11, 2014, pp. 293–296.

X. Wang, B. Cheng, A. R. Brown, C. Millar and A. Asenov, "Accurate Simulations of the Interplay Between Process and Statistical Variability for nanoscale FinFET-based SRAM Cell Stability," in Proc. 44th European Solid-State Device Research Conference (ESSDERC), Venice Italy, Sept. 22-26, 2014, pp. 349–352.

X. Wang, B. Cheng, C. Millar, D. Reid and A. Asenov, "Statistical aspects of FinFET based SRAM metrics subject to process and statistical variability," in Proc. IEEE 12th International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Guilin China, Oct. 28-31, 2014, pp. 702–704.

2013

F. Adamu-Lema, C. M. C. M. Compagnoni, S. M. Amoroso, N. Castellani, S. Markov, L. Gerrer, A. S. A. S. Spinelli, A. L. A. L. Lacaita and A. Asenov, "Accuracy and issues of the spectroscopic analysis of RTN traps in nanoscale MOSFETs," IEEE Trans. Electron Devices, Vol. 60, No. 2, pp. 833–839, Feb. 2013.

S. M. Amoroso, L. Gerrer, S. Markov, F. Adamu-Lema and A. Asenov, "RTN and BTI in Nanoscale MOSFETs: A Comprehensive Statistical Simulation Study," Solid State Electronics, Mar. 2013.

S. M. Amoroso, C. M. C. M. Compagnoni, A. Ghetti, L. Gerrer, A. S. A. S. Spinelli, A. L. A. L. Lacaita and A. Asenov, "Investigation of the RTN distribution of nanoscale MOS devices from subthreshold to on-state," Electron Device Letters, Vol. PP, No. 99, pp. 1–3, Apr. 2013.

A. Asenov, B. Cheng, A. R. Brown and X. Wang, "Chapter 15 Impact of Statistical Variability on FinFET Technology: From Device, Statistical Compact Modelling to Statistical Circuit Simulation," in Nyquist AD Converters, Sensor Interfaces, and Robustness, A. H. M. van Roermund, A. Baschirotto and M. Steyaert, Eds. New York: Springer, 2013, pp. 281–291.

A. Asenov, B. Cheng, X. Wang, A. R. Brown, D. Reid, C. Millar and C. L. Alexander, "Simulation Based Transistor-SRAM Co-Design in the Presence of Statistical Variability and Reliability," in Proc. IEEE International Electron Devices Meeting (IEDM), Washington DC, Dec. 9-11, 2013, pp. 818–821.

B. Cheng, X. Wang, A. R. Brown, J. B. Kuang, D. Reid, C. Millar, S. Nassif and A. Asenov, "SRAM Device and Cell Co-Design Considerations in a 14nm SOI FinFET Technology," in Proc. IEEE International Symposium on Circuits and Systems (ISCAS), Beijing China, May 19-23, 2013, pp. 2339–2342.

V. P. Georgiev, E. Towie and A. Asenov, "Impact of Precisely Positioned Dopants on the Performance of an Ultimate Silicon Nanowire Transistor: A Full Three-Dimensional NEGF Simulation Study," IEEE Transactions on Electron Devices, Vol. 60, No. 3, pp. 965–971, Mar. 2013.

V. P. Georgiev, E. Towie and A. Asenov, "Interactions between precisely placed dopants and interface roughness in silicon nanowire transistors: Full 3-D NEGF simulation study," Simulation of Semiconductor Processes and Devices (SISPAD), 2013 International Conference on: Glasgow, Sept. 3-5, 2013.

V. P. Georgiev and A. Asenov, Eds., Simulation of a single dopant nanowire transistor, 2013, MRS Spring Meeting, 2013.

S.-Y. Liao, E. Towie, D. Balaz, C. Riddet, B. Cheng and A. Asenov, "Impact of the statistical variability on 15nm IIIV and Ge MOSFET based SRAM design," 14th Ultimate Integration on Silicon (ULIS): Coventry, UK, Mar. 19-21, 2013.

S.-Y. Liao, E. Towie, D. Balaz, C. Riddet, B. Cheng and A. Asenov, "PDK development for 10nm III-V/Ge IFQW CMOS technology including statistical variability," Simulation of Semiconductor Processes and Devices (SISPAD), 2013 International Conference on: Glasgow, Scotland, Sept. 3-5, 2013.

C. Riddet, E. Towie and A. Asenov, "Performance evaluation of p-channel FinFETs using 3D ensemble Monte Carlo simulation," Simulation of Semiconductor Processes and Devices (SISPAD), 2013 International Conference on: Glasgow, Sept. 3-5, 2013.

E. Towie, C. Riddet and A. Asenov, "Monte Carlo Simulation of the Effect of Interface Roughness in Implant-Free Quantum-Well MOSFETs," 14th Ultimate Integration on Silicon (ULIS): Coventry, UK, Mar. 19-21, 2013.

E. Towie, C. Riddet and A. Asenov, "3D Monte Carlo Simulation of III-V Implant-Free Quantum-Well and FinFET MOSFETs," 14th International Workshop on Computational Electronics (IWCE): June 4-7, 2013.

E. Towie, C. Riddet and A. Asenov, "Comparison of raised source/drain Implant-Free Quantum-Well and Tri-gate MOSFETs using 3D Monte Carlo simulation," Simulation of Semiconductor Processes and Devices (SISPAD), 2013 International Conference on: Glasgow, Sept. 3-5, 2013.

L. Vilà‐Nadal, S. G. Mitchell, S. Markov, C. Busche, V. P. Georgiev, A. Asenov and L. Cronin, "Towards Polyoxometalate‐Cluster‐Based Nano‐Electronics," Chemistry-A European Journal, Vol. 19, No. 49, pp. 16502–16511, Dec. 2013.

L. Wang, A. R. Brown, B. Cheng and A. Asenov, "Analytical Models for Three-Dimensional Ion Implantation Profiles in FinFETs," IEEE Transactions On Computer-Aided Design Of Integrated Circuits And Systems, Vol. 32, No. 12, pp. 2004–2008, Dec. 2013.

X. Wang, A. R. Brown, B. Cheng and A. Asenov, "Drain Bias Impact on Statistical Variability and Reliability in 20 nm Bulk CMOS Technology," in Proc. 14th Ultimate Integration on Silicon (ULIS), U.K. Mar. 19-21, 2013, pp. 65–68.

X. Wang, F. Adamu-Lema, B. Cheng and A. Asenov, "Geometry, Temperature, and Body Bias Dependence of Statistical Variability in 20-nm Bulk CMOS Technology: A Comprehensive Simulation Analysis," IEEE Trans. Electron Devices, Vol. 60, No. 5, pp. 1547–1554, May 2013.

X. Wang, B. Cheng, A. R. Brown, C. Millar, J. B. Kuang, S. Nassif and A. Asenov, "Interplay Between Process-Induced and Statistical Variability in 14-nm CMOS Technology Double-Gate SOI FinFETs," IEEE Transactions on Electron Devices, Vol. 60, No. 8, pp. 2485–2492, Aug. 2013.

X. Wang, B. Cheng, A. R. Brown, C. Millar, C. L. Alexander, D. Reid, J. B. Kuang, S. Nassif and A. Asenov, "Unified Compact Modelling Strategies for Process and Statistical Variability in 14-nm node DG FinFETs," in Proc. 18th International Conference on Simulation of Semiconductor Processes and Devices, Glasgow Scotland UK, Sept. 3-5, 2013, pp. 139–142.

X. Wang, B. Cheng, A. R. Brown, C. Millar, J. B. Kuang, S. Nassif and A. Asenov, "Impact of Statistical Variability and Charge Trapping on 14 nm SOI FinFET SRAM Cell Stability," in Proc. 43rd European Solid-State Device Research Conference (ESSDERC), Bucharest Romania, Sept. 16-20, 2013, pp. 234–237.

X. Wang, B. Cheng, A. R. Brown, C. Millar, J. B. Kuang, S. Nassif and A. Asenov, "Statistical Variability and Reliability and the Impact on Corresponding 6T-SRAM Cell Design for a 14-nm Node SOI FinFET Technology," IEEE Design & Test, Vol. 30, No. 6, pp. 18–28, Dec. 2013.

A. S. M. Zain, S. Markov, B. Cheng and A. Asenov, "Comprehensive study of the statistical variability in a 22nm fully depleted ultra-thin-body SOI MOSFET," Solid-State Electronics, Vol. 90, pp. 51–55, Dec. 2013.

2012

F. Adamu-Lema, S. M. Amoroso, S. Markov, L. Gerrer and A. Asenov, "A Unified Computational Scheme for 3D Statistical Simulation of Reliability Degradation of Nanoscale MOSFETs," 17th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): Sept. 5-7, 2012.

S. M. Amoroso, L. Gerrer, S. Markov, F. Adamu-Lema and A. Asenov, "Comprehensive Statistical Comparison of RTN and BTI in Deeply Scaled MOSFETs by means of 3D ‘Atomistic’ Simulation," 42nd European Solid-State Device Research Conference (ESSDERC): Sept. 17-21, 2012.

A. Asenov, B. Cheng, A. R. Brown and X. Wang, "Impact of statistical variability on FinFET technology: from device statistical compact modelling to statistical circuit simulation," 21st Workshop on Advances in Analog Circuit Design (AACD): Mar. 2012.

K. H. Chan, C. Riddet, J. R. Watling and A. Asenov, "Monte Carlo Simulations of Ge Implant Free Quantum Well FETs - The Role of Substrate and Channel Orientation," 2012 International Silicon-Germanium Technology and Device Meeting (ISTDM): June 4-6, 2012.

B. Cheng, A. R. Brown, X. Wang and A. Asenov, "Statistical Variability Study of a 10nm Gate Length SOI FinFET Device," in Proc. IEEE Silicon Nanoelectronics Workshop, Honolulu HI USA, June 10-11, 2012, pp. 69–70.

B. Cheng, X. Wang, A. R. Brown, C. Millar, A. Asenov, J. B. Kuang and S. Nassif, "Statistical TCAD Based PDK Development for a FinFET Technology at 14nm Technology node," in Proc. 17th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Denver CO USA, Sept. 5-7, 2012, pp. 113–116.

J. Ding, P. Asenov, D. Reid, C. Millar and A. Asenov, "Statistical compact model extraction in the presence of BTI degradation," VARI 2012: June 11-12, 2012.

L. Gerrer, S. Markov, S. M. Amoroso, F. Adamu-Lema and A. Asenov, "Impact of random dopant fluctuations on trap-assisted tunnelling in nanoscale MOSFETs," Journal of Microelectronics Reliability, July 2012.

S. Markov, B. Cheng and A. Asenov, "Statistical Variability in Fully Depleted SOI MOSFETs Due to Random Dopant Fluctuations in the Source and Drain Extensions," IEEE Electron Device Letters, Vol. 3, No. 3, pp. 315–317, 2012.

S. Markov, L. Gerrer, S. M. Amoroso, F. Adamu-Lema and A. Asenov, "Time Domain Simulation of Statistical Variability and Oxide Degradation Including Trapping/detrapping Dynamics," 17th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD): Sept. 5-7, 2012.

A. S. Mohd Zain, S. Markov, B. Cheng, X. Wang and A. Asenov, "Comprehensive Study of the Statistical Variability in a 22nm Fully-Depleted Ultra-Thin-Body SOI MOSFET," EuroSOI 2012 Conference: Jan. 23-25, 2012.

C. Riddet, J. R. Watling, K. Chan, E. H. C. Parker, T. E. Whall, D. R. Leadley and A. Asenov, "Hole Mobility in Germanium as a Function of Substrate and Channel Orientation, Strain, Doping, and Temperature," IEEE Transactions on Electron Devices, Vol. 59, No. 7, pp. 1878–1884, July 2012.

G. Stewart and W. Vanderbauwhede, "Improving User Experience of Submitting Jobs to HPC Resources," in Proc. The 2012 International Conference on High Performance Computing and Simulation, Madrid, Spain, July 2-6, 2012, pp. 635–641.

T. B. Tang, A. Murray, B. Cheng and A. Asenov, Eds., A framework to study time-dependent variability in circuits at sub-35nm technology nodes, 2012.

E. Towie, S.-Y. Liao, C. Riddet and A. Asenov, "InGaAs Implant-Free Quantum-Well MOSFETs - Performance Evaluation Using 3D Monte Carlo Simulation," Intel European Research and Innovation Conference: Dublin, Ireland, Oct. 3-4, 2012.

L. Wang, A. R. Brown, B. Cheng and A. Asenov, "Simulation of 3D Doping Profile by Ion Implantations in FinFET," in Proc. 19th International Conference on Ion Implantation Technology, ser. Ion Implantation Technology, vol. 1496, Valladolid, Spain, June 2012, pp. 217–220.

X. Wang, G. Roy, O. Saxod, A. Bajolet, A. Juge and A. Asenov, "Simulation Study of Dominant Statistical Variability Sources in 32-nm High-κ/Metal Gate CMOS," IEEE Electron Device Letters, Vol. 33, No. 5, pp. 643–645, May 2012.

X. Wang, A. R. Brown, B. Cheng and A. Asenov, "Statistical distribution of RTS amplitudes in 20nm SOI FinFETs," in Proc. IEEE Silicon Nanoelectronics Workshop, Honolulu HI USA, June 10-11, 2012, pp. 77–78.

X. Wang, A. R. Brown, B. Cheng and A. Asenov, "RTS Amplitude Distribution in 20nm SOI FinFETs subject to Statistical Variability," in Proc. 17th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Denver CO USA, Sept. 5-7, 2012, pp. 296–299.

X. Wang, B. Cheng, A. R. Brown, C. Millar and A. Asenov, "Statistical Variability in 14-nm node SOI FinFETs and its Impact on Corresponding 6T-SRAM Cell Design," in Proc. 42nd European Solid-State Device Research Conference (ESSDERC), Bordeaux France, Sept. 17-21, 2012, pp. 113–116.

J. R. Watling, C. Riddet and A. Asenov, "Accurate and efficient modelling of inelastic hole-acoustic phonon scattering in Monte Carlo simulations," 15th International Workshop on Computational Electronics (IWCE): May 22-25, 2012.

2011

K. Abid, X. Wang, A. Z. Khokhar, S. Watson, S. Al-Hasani and F. Rahman, "Electrically tuneable spectral responsivity in gated silicon photodiodes," Applied Physics Letters, Vol. 99, No. 23, p. 231104, Dec. 2011.

A. Asenov, A. R. Brown and B. Cheng, "Statistical aspects of NBTI/PBTI and impact on SRAM yield," in Proc. Design, Automation and Test in Europe, Grenoble, France, Mar. 14-18, 2011,

N. Aymerich, A. Asenov, A. R. Brown, R. Canal, B. Cheng, J. Figueras, A. Gonzalez, E. Herrero, S. Markov, M. Miranda, P. Pouyan, T. Ramirez, A. Rubio, I. Vatajelu, X. Vera, X. Wang and P. Zuber, "New Reliability Mechanisms in Memory Design for sub-22nm Technologies," in Proc. IEEE 17th International On-Line Testing Symposium, Athens, Greece, July 13-15, 2011, pp. 111–114.

B. Benbakhti, K. Kalna, K. H. Chan, E. Towie, G. Hellings, G. Eneman, K. De Meyer, M. Meuris and A. Asenov, "Design and analysis of the In0.53Ga0.47As implant-free quantum-well device structure," Microelectronic Engineering, Vol. 88, No. 4, pp. 358–361,

B. Benbakhti, K. Chan, E. Towie, K. Kalna, C. Riddet, X. Wang, G. Eneman, G. Hellings, K. De Meyer, M. Meuris and A. Asenov, "Numerical analysis of the new Implant-Free Quantum-Well CMOS: DualLogic approach," Solid-State Electronics, Vol. 63, No. 1, pp. 14–18, Sept. 2011.

R. Canal, A. Rubio, A. Asenov, A. R. Brown, M. Miranda, P. Zuber, A. Gonzalez and X. Vera, "TRAMS Project: Variability and Reliability of SRAM Memories in sub-22 nm Bulk-CMOS Technologies," Procedia Computer Science, Vol. 7, pp. 148–149, 2011.

K. Chan, C. Riddet, J. R. Watling and A. Asenov, "Monte Carlo Simulation of a 20nm Gate Length Implant Free Quantum Well Ge p-MOSFET with different Lateral Spacer Width," 12th Ultimate Integration on Silicon: Cork, Ireland, Mar. 14-16, 2011.

B. Cheng, A. R. Brown, X. Wang and A. Asenov, "Statistical Variability Study of Extreme-Scaled SOI FinFet Device," Intel European Research and Innovation Conference: Oct. 12-14, 2011.

B. Cheng, A. R. Brown and A. Asenov, "Impact of NBTI/PBTI on SRAM Stability Degradation," IEEE Electron Device Letters, Vol. 32, No. 6, pp. 740–742, 2011.

H. Feng, B. Cheng, S. Roy and D. R. S. Cumming, Eds., An analytical mismatch model of nanoCMOS device under impact of intrinsic device variability, 2011, 2011.

N. M. Idris, B. Cheng, A. R. Brown, S. Markov and A. Asenov, "Comprehensive Simulation Study of Statistical Variability in 32nm SOI MOSFET," in Proc. 7th Workshop of the Thematic Network on Silicon on Insulator technology, devices and circuits, Jan. 17-19, 2011,

A. Lange, C. Sohrmann, R. Jancke, J. Haase, B. Cheng, U. Kovac and A. Asenov, Eds., A general approach for multivariate statistical MOSFET compact modelling preserving correlations, 2011,

S. Markov, X. Wang, N. Moezi and A. Asenov, "Drain Current Collapse in Nanoscaled Bulk MOSFETs Due to Random Dopant Compensation in the Source/Drain Extensions," Electron Devices, IEEE Transactions on, Vol. 58, No. 8, pp. 2385–2393, Aug. 2011.

S. Markov, N. M. Idris and A. Asenov, "Statistical variability in n-channel UTB-FD-SOI MOSFETs under the influence of RDF, LER, MGG and PBTI," in Proc. SOI Conference (SOI), 2011 IEEE International, Oct. 3-6, 2011,

A. Martinez, A. R. Brown, S. Roy and A. Asenov, "NEGF simulations of a junctionless Si gate-all-around nanowire transistor with discrete dopants," in Proc. Ultimate Integration on Silicon, Cork, Ireland, Mar. 14-16, 2011,

A. Martinez, N. Seoane, M. Aldegunde, A. R. Brown and A. Asenov, "The Role of Discrete Dopants in the Statistical Variability of Narrow Gate-All-Around Silicon Nanowire Transistors," IEEE Transactions on Electron Devices, Vol. 58, No. 8, pp. 2209–2217, Aug. 2011.

A. S. Mohd Zain, B. Cheng, X. Wang and A. Asenov, "Insights on Device Performance of SOI MOSFET with 60 nm and 15 nm BOX Thickness," EuroSOI 2011 Conference: Jan. 17-19, 2011.

C. Riddet, C. L. Alexander, A. R. Brown, S. Roy and A. Asenov, "Simulation of "Ab Initio" Quantum Confinement Scattering in UTB MOSFETs Using Three-Dimensional Ensemble Monte Carlo," IEEE Transactions on Electron Devices, Vol. 58, No. 3, pp. 600–608, Mar. 2011.

C. Riddet, K. Chan and A. Asenov, "Monte Carlo study of the impact of strain and orientation on hole transport in germanium and silicon," UK Semiconductors: July 6-7, 2011.

C. Riddet, K. Chan and A. Asenov, "Full-band Monte Carlo Simulations of Hole Transport in Germanium: from bulk material to devices," 17th International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures: Aug. 7-12, 2011.

E. Towie, J. R. Watling and J. R. Barker, "Remotely screened electron-impurity scattering model for nanoscale MOSFETs," Semiconductor Science and Technology, Vol. 26, No. 5, p. 055008, May 2011.

E. Towie, K. Chan, B. Benbakhti, C. Riddet and A. Asenov, "Statistical Variability in Implant-Free Quantum-Well MOSFETs with InGaAs and Ge: A comparative 3D simulation study," Intel European Research and Innovation Conference: Oct. 12-14, 2011.

E. Towie, K. Chan, C. Riddet and A. Asenov, "High Mobility Channel MOSFETs for CMOS: A Comparative Implant-Free Quantum-Well 3D Statistical Variability Study," European Workshop on Heterostructure Technology: Nov. 7-9, 2011.

X. Wang, S. Markov and A. Asenov, "Channel-length dependence of statistical threshold-voltage variability in extremely scaled HKMG MOSFETs," in Proc. 12th Ultimate Integration on Silicon, Cork, Ireland, Mar. 14-16, 2011, pp. 175–178.

X. Wang, S. Roy, A. R. Brown and A. Asenov, "Impact of STI on Statistical Variability and Reliability of Decananometer MOSFETs," IEEE Electron Device Letters, Vol. 32, No. 4, pp. 479–481, Apr. 2011.

X. Wang, A. R. Brown, N. M. Idris, S. Markov, G. Roy and A. Asenov, "Statistical Threshold-Voltage Variability in Scaled Decananometer Bulk HKMG MOSFETs: A Full-Scale 3-D Simulation Scaling Study," IEEE Transactions on Electron Devices, Vol. 58, No. 8, pp. 2293–2301, Aug. 2011.

X. Wang, A. R. Brown, B. Cheng and A. Asenov, "Statistical Variability and Reliability in Nanoscale FinFETs," in Proc. IEEE International Electron Devices Meeting (IEDM), Washington DC, Dec. 5-7, 2011, pp. 103–106.

J. R. Watling, C. Riddet, KH. Chan and A. Asenov, "Simulation of hole-mobility in doped relaxed and strained Ge," Microelectronic Engineering, Vol. 88, No. 4, pp. 462–464, Apr. 2011.

2010

M. Aldegunde, A. Loureiro, B. Benbakhti and K. Kalna, "Advancing Monte Carlo Simulations of Electron Transport in Bulk GaN," UK Semiconductors: Sheffield, UK, July 7-8, 2010.

C. L. Alexander and A. Asenov, Eds., 'ab initio' Surface Roughness Scattering in 3D Monte Carlo Transport Simulations, 2010,

A. Asenov, B. Cheng, D. Dideban, U. Kovac, N. Moezi, C. Millar, G. Roy, A. R. Brown and S. Roy, "Modeling and Simulation of Transistor and Circuit Variability and Reliability," Custom Integrated Circuit Conference: San Jose, California, Sept. 19-22, 2010.

P. Asenov, N. A. Kamsani, D. Reid, C. Millar, S. Roy and A. Asenov, "Combining Process and Statistical Variability in the Evaluation of the Effectiveness of Corners in Digital Circuit Parametric Yield Analysis," European Solid-State Circuits Conference: Sept. 13-17, 2010.

P. Asenov, D. Reid, C. Millar, S. Roy, Z. Liu, S. Furber and A. Asenov, "Generic Aspects of Digital Circuit Behaviour in the Presence of Statistical Variability," European Workshop on CMOS Variability: May 26, 2010.

T. Azam, B. Cheng, S. Roy and D. R. S. Cumming, "Robust asymmetric 6T-SRAM cell for low-power operation in nano-CMOS technologies," Electronics Letters, Vol. 46, pp. 273–274, 2010.

T. Azam, B. Cheng and D. R. S. Cumming, "Variability Resilient Low-Power 7T-SRAM Design for nano-Scaled Technologies," 2010 International Symposium on Quality Electronic Design: 2010.

H. A. Barkad, A. Soltani, M. Mattalah, J.-C. Gerbedoen, M. Rousseau, J.-C. De Jaeger, B. Benbakhti, A. BenMoussa, V. Mortet, M. Moreau, R. Dupuis and A. Ougazzaden, "Design, Fabrication and Physical Analysis of TiN/AlN Deep UV Photodiodes," Journal of Physics D, Vol. 43, p. 465104, 2010.

B. Benbakhti, J. S. Ayubi-Moak, K. Kalna, D. Lin, G. Hellings, G. Brammertz, K. De Meyer, I. Thayne and A. Asenov, "Impact of Interface State Trap Density on the Performance Characteristics of Different III-V MOSFET Architectures," Journal of Microelectronics Reliability, Vol. 50, No. 3, pp. 360–364, 2010.

B. Benbakhti, E. Towie, K. Kalna, G. Heltlings, G. Eneman, K. De Meyer, M. Meuris and A. Asenov, "Monte Carlo Analysis of In0.53Ga0.47As Implant-Free Quantum-Well Device Performance," Silicon Nanoelectronics Workshop: Honolulu, USA, June 13-14, 2010.

B. Benbakhti, K. Kalna, K. Chan, G. Hellings, G. Eneman, K. De Meyer, M. Meuris and A. Asenov, "Design and Analysis of a New In53Ga47As Implant-Free Quantum-Well Device Structure," European Materials Research Society: Strasbourg, France, June 7-11, 2010.

B. Benbakhti, K. Kalna, X. Wang, B. Cheng and A. Asenov, "Impact of Raised Source/Drain in the In0.53Ga0.47As Channel Implant-Free Quantum-Well Transistor," in Proc. Ultimate Integration on Silicon, U.K, Mar. 2010, pp. 129–132.

B. Bindu, B. Cheng, G. Roy, X. Wang, S. Roy and A. Asenov, "Parameter set and data sampling strategy for accurate yet efficient statistical MOSFET compact model extraction," Solid-State Electronics, Vol. 54, No. 3, pp. 307–315, Mar. 2010.

A. R. Brown, V. Huard and A. Asenov, "Statistical Simulation of Progressive NBTI Degradation in a 45-nm Technology pMOSFET," IEEE Transactions on Electron Devices, Vol. 57, No. 9, pp. 2320–2323, 2010.

A. R. Brown, N. M. Idris, J. R. Watling and A. Asenov, "Impact of Metal Gate Granularity on Threshold Voltage Variability: A Full-Scale Three-Dimensional Statistical Simulation Study," IEEE Electron Device Letters, Vol. 31, No. 11, pp. 1199–1201, Nov. 2010.

A. R. Brown, J. R. Watling, G. Roy, C. Riddet, C. L. Alexander, U. Kovac, A. Martinez and A. Asenov, "Use of density gradient quantum corrections in the simulation of statistical variability in MOSFETs," Journal of Computational Electronics, Vol. 9, No. 3-4, pp. 187–196, 2010.

A. R. Brown, X. Wang, S. Markov, B. Cheng and A. Asenov, "Simulation of statistical variability in 18 and 13nm bulk MOSFETs," Intel European Research and Innovation Conference: Oct. 12-14, 2010.

M. Faiz. Bukhori, S. Roy and A. Asenov, "Simulation of Statistical Aspects of Charge Trapping and Related Degradation in Bulk MOSFETs in the Presence of Random Discrete Dopants," IEEE Trans. Electron Dev. Vol. 57, No. 4, pp. 795–803, Apr. 2010.

K. Chan, B. Benbakhti, C. Riddet, J. R. Watling and A. Asenov, "Simulation study of the 20 nm gate-length Ge implant-free quantum well p-MOSFET," Microelectronic Engineering, Vol. 88, No. 4, pp. 362–365, Oct. 2010.

K. Chan, B. Benbakhti, C. Riddet, J. R. Watling and A. Asenov, "Simulation study of the 20 nm gate-length Ge implant-free quantum well p-MOSFET," European Materials Research Society: Strabourg, France, June 7-11, 2010.

B. Cheng, D. Dideban, N. Moezi, C. Millar, G. Roy, X. Wang, S. Roy and A. Asenov, "Statistical Variability Compact Modeling Strategies for BSIM4 and PSP," IEEE Design and Test of Computers, Vol. 27, No. 2, pp. 26–35, Mar./Apr. 2010.

B. Cheng, D. Dideban, N. Moezi, C. Millar, G. Roy, X. Wang, S. Roy and A. Asenov, "Capturing Intrinsic Parameter Fluctuations using the PSP Compact Model," in Proc. Design, Automation and Test in Europe, Dresden, Germany, Mar. 8-12, 2010, pp. 650–653.

B. Cheng, N. Moezi, D. Dideban, C. Millar, S. Roy and A. Asenov, "Impact of Statistical Parameter Set Selection on Accuracy of Statistical Compact Modeling," MOS-AK Workshop: Sapienza Università di Roma, Apr. 8-9, 2010.

B. Cheng, A. R. Brown, S. Roy and A. Asenov, "PBTI/NBTI-Related Variability in TB-SOI and DG MOSFETs," IEEE Electron Device Letters, Vol. 31, No. 5, pp. 408–410, May 2010.

D. Dideban, B. Cheng, N. Moezi, N. A. Kamsani, C. Millar, S. Roy and A. Asenov, "Impact of Input Slew Rate on Statistical Timing and Power Dissipation Variability in nano CMOS," in Proc. Ultimate Integration on Silicon, Glasgow, Scotland, UK, Mar. 17-19, 2010, pp. 45–48.

D. Dideban, B. Cheng, N. Moezi, X. Wang and A. Asenov, "Evaluation of 35nm MOSFET Capacitance Components in PSP Compact Model," ICEE2010: Isfahan, Iran, May 11-13, 2010.

F. Hong, B. Cheng and D. R. S. Cumming, "Mismatch Modelling for a 35nm Differential Amplifier with Impact of Variability," 11th Ultimate Integration on Silicon: 2010.

N. M. Idris, A. R. Brown, J. R. Watling and A. Asenov, "Simulation Study of Workfunction Variability in MOSFETs with Polycrystalline Metal Gates," in Proc. Ultimate Integration on Silicon, Glasgow, Scotland, Mar. 18-19, 2010, pp. 165–168.

A. Islam, B. Benbakhti and K. Kalna, "Electron velocity decline in Si nanoscales MOSFETs with the shortening of gate length," Journal of Physics: Conference Series, Vol. 242, p. 012011, 2010.

A. Islam, B. Benbakhti and K. Kalna, "Electron Velocity Decline in Si Nanoscale MOSFETs with the Shortening of Gate Length," II Workshop on Theory, Modelling and Computational Methods for Semiconductor Materials and Nanostructures: York, UK, Jan. 13-15, 2010.

N. A. Kamsani, B. Cheng, C. Millar, N. Moezi, X. Wang, S. Roy and A. Asenov, "Impact of Slew Rate Definition on the Accuracy of nanoCMOS Inverter Timing Simulations," in Proc. Ultimate Integration on Silicon, Glasgow, Scotland, UK, Mar. 17-19, 2010,

U. Kovac, D. Dideban, B. Cheng, N. Moezi, G. Roy and A. Asenov, "A Novel Approach to the Statistical Generation of Non-normal Distributed PSP Compact Model Parameters using a Nonlinear Power Method," in Proc. Simulation of Semiconductor Processes and Devices (SISPAD), Bologna, Italy, Sept. 6-8, 2010, pp. 125–128.

U. Kovac, C. L. Alexander, G. Roy, C. Riddet, B. Cheng and A. Asenov, "Hierarchical Simulation of Statistical Variability: From 3-D MC with ‘ab initio’ Ionized Impurity Scattering to Statistical Compact Models," IEEE Transactions on Electron Devices, 2010.

S. Markov, S. Roy and A. Asenov, "Direct Tunnelling Gate Leakage Variability in Nano-CMOS Transistors," Electron Devices, IEEE Transactions on, Vol. 57, No. 11, pp. 3106–3114, Nov. 2010.

S. Markov, P. V. Sushko, C. Fiegna, E. Sangiorgi, A. Shluger and A. Asenov, "From ab initio properties of the Si-SiO2 interface, to electrical characteristics of metal-oxide-semiconductor devices," Journal of Physics: Conference Series, Vol. 242, No. 1, p. 012010, Jan. 2010.

A. Martinez, B. Benbakhti and A. Asenov, "Effect of the Channel Thickness on the Performance of the Implant-Free Quantum-Well MOSFET," International Workshop on Computational Electronics: Pisa, Italy, Oct. 27-29, 2010.

I. Moore, C. Millar, S. Roy and A. Asenov, Eds., Integrating drift-diffusion and Brownian simulations for sensory applications, 2010, Ultimate Integration on Silicon, Mar. 17-19, 2010.

D. Reid, C. Millar, S. Roy and A. Asenov, "Understanding LER-Induced MOSFET VT Variability—Part I: Three-Dimensional Simulation of Large Statistical Samples," IEEE Transactions on Electron Devices, Nov. 2010.

D. Reid, C. Millar, S. Roy and A. Asenov, "Understanding LER-Induced MOSFET VT Variability—Part II: Reconstructing the Distribution," IEEE Transactions on Electron Devices, Nov. 2010.

C. Riddet, J. R. Watling, K. Chan and A. Asenov, "Monte Carlo simulation study of the impact of strain and substrate orientation on hole mobility in Germanium," in Proc. 2nd Workshop on Theory, Modelling and Computational Methods for Semiconductor Materials and Nanostructures, York, UK, Jan. 13-15, 2010, p. 17.

C. Riddet, J. R. Watling, K. Chan and A. Asenov, "Monte Carlo simulation study of the impact of strain and substrate orientation on hole mobility in Germanium," Journal of Physics Conferences Series, Vol. 242, p. 012017, 2010.

C. Riddet, J. R. Watling, K. Chan, A. Asenov, B. De Jaeger, J. Mitard and M. Meuris, "Monte Carlo Simulation Study of Hole Mobility in Germanium MOS Inversion Layers," in Proc. 14th International Workshop on Computational Electronics (IWCE), Oct. 27-29, 2010, pp. 239–242.

R. O. Sinnott, G. Stewart, A. Asenov, C. Millar, D. Reid, G. Roy, S. Roy, C. Davenhall, B. Harbulot and M. Jones, "E-Infrastructure Support for nanoCMOS Device and Circuit Simulations," Parallel and Distributed Computing and Networks: Feb. 16-18, 2010.

T. B. Tang, A. F. Murray, B. Cheng and A. Asenov, "Statistical NBTI-Effect Prediction for ULSI Circuits," 10th ULIS: 2010.

J. A. Walker, R. O. Sinnott, G. Stewart, J. Hilder and A. M. Tyrrell, "Optimised Generation of Electronic Standard Cell Libraries with Variability Tolerance through the nanoCMOS Grid," Philosophical Transactions of the Royal Society A, Vol. 368, No. 1925, pp. 3967–3981, July 2010.

J. R. Watling, C. Riddet, KH. Chan and A. Asenov, "Simulation of hole-mobility in doped relaxed and strained Ge layers," Journal of Applied Physics, Vol. 108, p. 093715, 2010.

2009

A. Asenov, A. R. Brown, G. Roy, B. Cheng, C. L. Alexander, C. Riddet, U. Kovac, A. Martinez, N. Seoane and S. Roy, "Simulation of statistical variability in nano-CMOS transistors using drift-diffusion, Monte Carlo and non-equilibrium Green’s function techniques," Journal of Computational Electronics, Vol. 8, No. 3-4, pp. 349–373, 2009.

J. Ayubi-Moak, B. Benbakhti, K. Kalna, G. W. Paterson, R. Hill, M. Passlack, I. Thayne and A. Asenov, "Effect of Interface State Trap Density on the Characteristics of n-type, Enhancement- Mode, Implant-Free In0.3Ga0.7As MOSFETs," Journal of Microelectronic Engineering, Vol. 86, No. 7-9, pp. 1564–1567, 2009.

J. S. Ayubi-Moak, B. Benbakhti, K. Kalna, G. W. Paterson, R. Hill, M. Passlack, I. Thayne and A. Asenov, "Effect of Interface State Trap Density on the Characteristics of n-type, Enhancement- Mode, Implant-Free In0.3Ga0.7As MOSFETs," 16th biannual conference of Insulating Films on Semiconductors: Cambridge, UK, June 29-July 1, 2009.

J. S. Ayubi-Moak, K. Kalna and A. Asenov, "High-Performance In0.75Ga0.25As Implant-Free n-type MOSFETs for Low Power Applications," 7th Spanish Conference on Electron Devices: Santiago de Compostela, Spain, Feb. 11-13, 2009.

D. Balaz, K. Kalna, M. Kuball, M. J. Uren and A. Asenov, "Impact of the field induced polarization space-charge on the characteristics of AlGaN/GaN HEMT: Self-consistent simulation study," Physica Status Solidi (c), Vol. 6, No. S2, p. S1007–S1011, May 2009.

D. Balaz, K. Kalna, M. Kuball, D. J. Hayes, M. J. Uren and A. Asenov, "Impact of surface charge on the I-V characteristics of an AlGaN/GaN HEMT," Workshop on Compound Semiconductor Devices and Integrated Circuits: Malaga, Spain, May 17-20, 2009.

D. Balaz, K. Kalna, M. Kuball, M. J. Uren and A. Asenov, "Systematic simulation study of the impact of virtual gate geometry on the current collapse in AlGaN/GaN HEMTs," UK Semiconductors: Sheffield, June 1-2, 2009.

B. Benbakhti, A. Soltani, K. Kalna, M. Rousseau and J.-C. De Jaeger, "Effects of Self-Heating on Performance Degradation in AlGaN/GaN-Based Devices," IEEE Transactions on Electron Devices, Vol. 56, No. 10, pp. 2178–2185, 2009.

B. Benbakhti, J. S. Ayubi-Moak, K. Kalna and A. Asenov, "Effect of Interface State Trap Density on the Performance of Scaled Surface Channel In0.3Ga0.7As MOSFETs," Journal of Physics: Conference Series, Vol. 193, p. 012122, 2009.

B. Benbakhti, M. Rousseau, A. Soltani and J.-C. De Jaeger, "Electron Transport Properties of Gallium Nitride for Microscopic Power Device Modelling," Journal of Physics: Conference Series, Vol. 193, p. 012005, 2009.

B. Benbakhti, J. S. Ayubi-Moak, K. Kalna and A. Asenov, "Effect of Interface State Trap Density on the Performance of Scaled Surface Channel In0.3Ga0.7As MOSFETs," 16th International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures: Montpellier, France, Aug. 24-28, 2009.

B. Benbakhti, M. Rousseau, A. Soltani and J.-C. De Jaeger, "Electron Transport Properties of Gallium Nitride for Microscopic Power Device Modelling," 16th International Conference on Electron Dynamics in Semiconductors, Optoelectronics and Nanostructures: Montpellier, France, Aug. 24-28, 2009.

B. Benbakhti, J. S. Ayubi-Moak, K. Kalna and A. Asenov, "Impact of Interface Optical Phonons and Interface State Trap Density on Surface Channel and Implant Free III-V MOSFETs based on In0.3Ga0.7As channel," Silicon Nanoelectronics Workshop: Kyoto, Japan, June 13-14, 2009.

A. R. Brown, A. Martinez, N. Seoane and A. Asenov, "Comparison of Density Gradient and NEGF for 3D Simulation of a Nanowire MOSFET," in Proc. Spanish Conference on Electron Devices, Santiago de Compostela, Spain, Feb. 11-13, 2009, pp. 140–143.

M. Faiz. Bukhori, A. R. Brown, S. Roy and A. Asenov, "Simulation of statistical aspects of reliability in nano CMOS transistors," in Proc. International Integrated Reliability Workshop, ser. 2009 IIRW Final Report, California, Oct. 18-22, 2009, pp. 82–85.

B. Cheng, N. Moezi, D. Dideban, G. Roy, S. Roy and A. Asenov, "Benchmarking the Accuracy of PCA Generated Statistical Compact Model Parameters Against Physical Device Simulation and Directly Extracted Statistical Parameters," in Proc. Simulation of Semiconductor Processes and Devices, Sept. 9-11, 2009, pp. 143–146.

B. Cheng, S. Roy, A. R. Brown, C. Millar and A. Asenov, "Evaluation of statistical variability in 32 and 22 nm technology generation LSTP MOSFETs," Solid-State Electronics, Vol. 53, No. 7, pp. 767–772, July 2009.

D. Graham, Bradley, S. Roy and F. Rodriguez-Salazar, "A low-tech solution to avoid the severe impact of transient errors on the IP interconnect," in Proc. The 39th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, Estoril, Portugal, June 29-July 2, 2009,

F. Hassan, B. Cheng, W. Vanderbauwhede and F. Rodriguez-Salazar, "Impact of Device Variability in the Communication Structures for Future Synchronous SoC Designs," 2009 International Symposium on System on Chip: 2009.

N. A. Kamsani, B. Cheng, S. Roy and A. Asenov, "Impact of Random Dopant Induced Statistical Variability on Inverter Switching Trajectories and Timing Variability," in Proc. IEEE International Symposium on Circuits and Systems, Taipei, Taiwan, May 24-27, 2009,

P. Palestri, C. L. Alexander, A. Asenov, V. Aubry-Fortuna, G. Baccarani, A. Bournel, M. Braccioli, B. Cheng, P. Dolfus, A. Esposito, D. Esseni, C. Fenouillet-Beranger, C. Fiegna, G. Fiori, A. Ghetti, G. Iannaccone, A. Martinez, B. Majkusiak, S. Monfray, V. Peikert, S. Reggiani, C. Riddet, J. Saint-Martin, E. Sangiorgi, A. Schenk, L. Selmi, L. Silvestri, P. Toniutti and J. Walczak, "A comparison of advanced transport models for the computation of the drain current in nanoscale nMOSFETs," Solid-State Electronics, Vol. 53, No. 12, pp. 1293–1302, Dec. 2009.

D. Reid, C. Millar, G. Roy, S. Roy and A. Asenov, "Analysis of Threshold Voltage Distribution due to Random Dopants: A 100,000 Sample 3D Simulation Study," IEEE Transactions on Electron Devices, Oct. 2009.

D. Reid, C. Millar, G. Roy, S. Roy and A. Asenov, "Understanding LER-induced Statistical Variability: A 35,000 Sample 3D Simulation Study," Proc. ESSDERC 2009: Sept. 14-18, 2009.

D. Reid, C. Millar, G. Roy, S. Roy and A. Asenov, "Efficient Simulations of 6σ VT Distributions Due to Random Discrete Dopants," Proc. ULIS 2009: Mar. 2009.

D. Reid, C. Millar, G. Roy, S. Roy and A. Asenov, "Statistical enhancement of combined simulations of RDD and LER variability: What can simulation of a 10^5 sample teach us?" International Electron Devices Meeting 2009: Dec. 7-9, 2009.

D. Reid, R. O. Sinnott, C. Millar, G. Roy, S. Roy and G. Stewart, "Enabling Cutting-edge Semiconductor Simulation through Grid Technology," Journal of the Philosophical Transactions of the Royal Society A, January 2009. Jan. 2009.

N. Seoane, A. Martinez, A. R. Brown and A. Asenov, "Study of surface roughness in extremely small Si nanowire MOSFETs using fully-3D NEGFs," in Proc. Spanish Conference on Electron Devices, Santiago de Compostela, Spain, Feb. 11-13, 2009, pp. 180–183.

X. Wang, S. Roy and A. Asenov, "Impact of Strain on the Performance of high-k/metal replacement gate MOSFETs," in Proc. 10th ULIS, Aachen Germany, Mar. 18-20, 2009, pp. 289–292.

2008

C. L. Alexander, G. Roy and A. Asenov, "Random-Dopant-Induced Drain Current Variation in Nano-MOSFETs: A Three-Dimensional Self-Consistent Monte Carlo Simulation Study Using "ab initio" Ionized Impurity Scattering," IEEE Trans. Electron Devices, Vol. 55, No. 11, pp. 3251–3258, Nov. 2008.

A. Asenov, A. Cathignol, B. Cheng, K. P. McKenna, A. R. Brown, A. L. Shluger, D. Chanemougame, K. Rochereau and G. Ghibaudo, "Origin of the Asymmetry in the Magnitude of the Statistical Variability of n- and p-Channel Poly-Si Gate Bulk MOSFETs," IEEE Electron. Dev. Lett. Vol. 29, No. 8, pp. 913–915, 2008.

A. Asenov, S. Roy, A. R. Brown, G. Roy, C. L. Alexander, C. Riddet, C. Millar, B. Cheng, A. Martinez, N. Seoane, D. Reid, M. Faiz. Bukhori, X. Wang and U. Kovac, "Advanced simulation of statistical variability and reliability in nano CMOS transistors," in Proc. IEDM, USA, Dec. 2008, p. 421.

D. Balaz, K. Kalna, M. Kuball, M. J. Uren and A. Asenov, "Impact of the field induced polarization space-charge on the characteristics of AlGaN/GaN HEMT: Self-consistent simulation study," International Workshop on Nitride semiconductors: Oct. 6-10, 2008.

J. R. Barker, E. Towie and J. R. Watling, Eds., The influence of polarisation and image charges on electron- impurity scattering in high degeneracy, nanometre scale silicon wrap-round gate MOSFETs, 2008, International Symposium on Advanced Nanodevices and Nanotechnology, Waikoloa, Hawaii, USA, Dec. 2-7, 2007.

J. R. Barker, E. Towie and J. R. Watling, "The influence of polarisation and image charges on Electron-Impurity Scattering in High Degeneracy, Nanometre Scale Silicon wrap-round gate MOSFETs," Journal of Physics Conferences Series, Vol. 109, p. 012009, 2008.

B. Bindu, B. Cheng, G. Roy, X. Wang, S. Roy and A. Asenov, "An efficient data sampling strategy for statistical parameter extraction of nano-MOSFETs," IEEE Workshop on Compact Modeling: Sept. 8-8, 2008.

A. R. Brown and A. Asenov, "Capacitance fluctuations in bulk MOSFETs due to random discrete dopants," Journal of Computational Electronics, Vol. 7, No. 3, pp. 115–118, 2008.

M. Faiz. Bukhori, S. Roy and A. Asenov, "Statistical Simulation of RTS Amplitude Distribution in Realistic Bulk MOSFETs Subject to Random Discreet Dopants," Ultimate Limits of Integration in Silicon 2008: Mar. 12-14, 2008.

M. Faiz. Bukhori, S. Roy and A. Asenov, "Statistical Aspects of Reliability in Bulk MOSFETs with Multiple Defect States and Random Discrete Dopants," Microelectronics Reliability, Vol. 48, No. 8-9, pp. 1549–1552, Aug. 2008.

A. Cathignol, B. Cheng, D. Chanemougame, A. R. Brown, K. Rochereau, G. Ghibaudo and A. Asenov, "Quantitative Evaluation of Statistical Variability Sources in a 45 nm Technological Node LP N-MOSFET," IEEE Electron. Dev. Lett. Vol. 29, No. 6, pp. 609–611, 2008.

B. Cheng, S. Roy and A. Asenov, "Simulation of intrinsic parameter fluctuations in CMOS: the link between physical device and circuit," Design Automation and Test in Europe: Workshop W2, Impact of Process Variability on Design and Test: Mar. 10-14, 2008.

B. Cheng, S. Roy, A. R. Brown, C. Millar and A. Asenov, "Evaluation of intrinsic parameter fluctuations in 45, 32 and 22 nm technology node LP n-MOSFETs," Proc. ESSDERC 2008: Sept. 15-19, 2008.

B. Cheng, S. Roy, A. R. Brown, C. Millar and A. Asenov, "Statistical Variations in 32nm Thin-Body SOI Devices and SRAM Cells," Proc. ICSICT 2008: 2008.

D. Graham, D. Bradley, S. Roy, P. Strid and F. Rodriguez-Salazar, "Design for Reliability: An Analysis of Logical Masking on Transient Faults," in Proc. 2008 IEEE workshop on silicon errors in logic, Austin, TX, Mar. 26-27, 2008,

N. H. Hamid, A. F. Murray and S. Roy, "Time-domain modelling of low-frequency noise in deep-submicrometer MOSFET," IEEE Transactions on Circuits and Systems-I: Regular Papers, Vol. 55, No. 1, pp. 233–245, Feb. 2008.

N. A. Kamsani, B. Cheng, S. Roy and A. Asenov, "Statistical Circuit Simulation with the Effect of Random Discrete Dopants in Nanometer MOSFET Devices," Design Automation and Test in Europe: Workshop W2, Impact of Process Variability on Design and Test: Munich, Germany, Mar. 10-14, 2008.

N. A. Kamsani, B. Cheng, S. Roy and A. Asenov, "Statistical Circuit Simulation with Supply-Voltage Scaling In Nanometre MOSFET Devices Under The Influence of Random Dopant Fluctuations," in Proc. 7th edition of Faible Tension Faible Consommation, Leuven, Belgium, May 26-28, 2008,

U. Kovac, D. Reid, C. Millar, G. Roy, S. Roy and A. Asenov, "Statistical simulation of random dopant induced threshold voltage fluctuations for 35 nm channel length MOSFET," Microelectronics Reliability, Vol. 48, No. 8-9, pp. 1572–1575, 2008.

S. Markov, S. Roy, C. Fiegna, E. Sangiorgi and A. Asenov, "On the sub-nm EOT scaling of high-K gate stacks," Ultimate Limits of Integration in Silicon 2008: Mar. 12-14, 2008.

S. Markov, P. V. Sushko, S. Roy, C. Fiegna, E. Sangiorgi, A. L. Shluger and A. Asenov, "Si-SiO2 interface band-gap transition - effects on MOS inversion layer," Physica Status Solidi (a), Vol. 205, No. 6, pp. 1290–1295, 2008.

A. Martinez, K. Kalna, A. Svizhenko, M. P. Anantram, J. R. Barker and A. Asenov, "Impact of strain on scaling of Double Gate nanoMOSFETs using NEGF approach," Physica Status Solidi C - Current Topics in Solid State Physics, Vol. 5, No. 1, pp. 47–51, 2008.

C. Millar, R. Madathil, O. Beckstein, M. S. P. Sansom, S. Roy and A. Asenov, "Brownian simulation of charge transport in α-Haemolysin," Journal of Computational Electronics, 2008.

C. Millar, S. Roy, D. Cumming, T. Drysdale, S. Furber, D. Edwards, M. Zwolinski, A. Tyrrell, A. Murray, S. Pickles, R. O. Sinnott, D. Berry and A. Asenov, "Meeting the Design Challenges of Nano-CMOS Electronics," in Proc. Workshop on the Impact of Process Variability on Design and Test, Mar. 2008,

C. Millar, S. Roy, D. R. S. Cumming, T. D. Drysdale, S. Furber, D. Edwards, M. Zwolinski, A. M. Tyrrell, A. F. Murray, S. Pickles, R. O. Sinnott, D. Berry and A. Asenov, "Meeting the Design Challenges of nano-CMOS Electronics," Design Automation and Test in Europe: Workshop W2, Impact of Process Variability on Design and Test: Mar. 10-14, 2008.

C. Millar, D. Reid, G. Roy, S. Roy and A. Asenov, "Accurate Statistical Description of Random Dopant Induced Threshold Voltage Variability," IEEE Electron Device Letters, Vol. 29, No. 8, pp. 946–948, Aug. 2008.

D. Reid, C. Millar, S. Roy, G. Roy, R. O. Sinnott, G. Stewart, G. Stewart and A. Asenov, "An Accurate Statistical Analysis of Random Dopant Induced Variability in 140,000 13nm MOSFETs," Silicon Nanoelectronics Workshop 2008: June 15-16, 2008.

D. Reid, C. Millar, S. Roy, R. O. Sinnott, G. Stewart, G. Stewart and A. Asenov, "Prediction of Random Dopant Induced Threshold Voltage Fluctuations in NanoCMOS Transistors," Simulation of Semiconductor Processes and Devices 2008: Sept. 9-11, 2008.

D. Reid, S. Roy, C. Millar, G. Roy, R. O. Sinnott, G. Stewart and A. Asenov, "Supporting Statistical Semiconductor Analysis using EGEE and OMII-UK Middleware," EGEE 3rd User Forum: Feb. 2008.

D. Reid, C. Millar, A. Asenov, S. Roy, G. Roy, R. O. Sinnott and G. Stewart, "Supporting Statistical Semiconductor Device Analysis using EGEE and OMII-UK Middleware," EGEE User Conference: Clermont-Ferrand, France, Feb. 2008.

D. Reid, C. Millar, S. Roy, G. Roy, R. O. Sinnott, G. Stewart, G. Stewart and A. Asenov, "Enabling Cutting-Edge Semiconductor Simulation through Grid Technology," All Hands Meeting 2008: Sept. 2008.

C. Riddet, A. R. Brown, S. Roy and A. Asenov, "Boundary Conditions for Density Gradient Corrections in 3D Monte Carlo Simulations," Journal of Computational Electronics, Vol. 7, No. 3, pp. 231–235, 2008.

C. Riddet and A. Asenov, "Convergence Properties of Density Gradient Quantum Corrections in 3D Ensemble Monte Carlo Simulations," in Proc. Simulation of Semiconductor Processes and Devices 2008, Hakone, Japan, pp. 261–264.

S. Roy, C. Millar and A. Asenov, "Impact of Device Variability on Design," Ultimate Limits of Integration in Silicon 2008: Mar. 12-14, 2008.

S. Roy, C. Millar and A. Asenov, "Statistical Compact Modelling as a Tool in Understanding Circuit Variability," Design Automation and Test in Europe: Workshop W2, Impact of Process Variability on Design and Test: Mar. 10-14, 2008.

S. Roy, B. Cheng and A. Asenov, "Impact of Intrinsic Parameter Fluctuations in Nano-CMOS Devices on Circuits and Systems," in PHYSICS AND MODELING OF TERA- AND NANO-DEVICES, ser. Selected Topics in Electronics and Systems, M. Ryzhii and V. Ryzhii, Eds. New York, USA: World Scientific, 2008,

N. Seoane, A. Martinez, A. R. Brown, J. R. Barker and A. Asenov, "3D NEGF simulation of ‘ab initio’ scattering from discrete dopants in the source and drain of a nanowire transistor," in Proc. Silicon Nanoelectronics Workshop, Honolulu, HI, USA, June 15-16, 2008,

R. O. Sinnott, T. Doherty, D. Martin, C. Millar, G. Stewart and J. Watt, "Supporting Security-oriented, Collaborative nanoCMOS Electronics Research," in Proc. International Workshop on Computing Science, June 2008,

R. O. Sinnott, D. W. Chadwick, T. Doherty, D. Martin, A. J. Stell, G. Stewart, L. Su and J. Watt, "Advanced Security for Virtual Organizations: Exploring the Pros and Cons of Centralized vs Decentralized Security Models," 8th IEEE International Symposium on Cluster Computing and the Grid: May 2008.

R. O. Sinnott, A. Asenov, C. Bayliss, C. Davenhall, T. Doherty, B. Harbulot, M. Jones, D. Martin, C. Millar, G. Roy, S. Roy, G. Stewart and J. Watt, "Integrating Security Solutions to Support nanoCMOS Electronics Research," IEEE International Symposium on Parallel and Distributed Processing Systems with Applications: Sydney, Australia, Dec. 2008.

R. O. Sinnott, A. Asenov, C. Millar, D. Berry, B. Harbulot, D. Reid, G. Roy, S. Roy and G. Stewart, "Meeting the Design Challenges of nanoCMOS Electronics through Secure, Large-scale Simulation and Data Management," EGEE User Conference: Istanbul Turkey, Oct. 2008.

R. O. Sinnott, C. Bayliss, C. Millar, G. Stewart, G. Roy, S. Roy, D. Reid, B. Harbulot, C. Davenhall, A. Asenov and J. Watt, "Secure, Performance-Oriented Data Management for nanoCMOS Electronics," in Proc. e-Science 2008 Conference, Indiana, USA,

R. O. Sinnott, A. Asenov and C. Millar, "Supercomputing at Work in the nanoCMOS Electronics Domain," ERCIM News, vol. 74, pp. 22–23, July 2008.

X. Wang, B. Cheng, S. Roy and A. Asenov, "Simulation of Strain Enhanced Variability in nMOSFETs," in Proc. Ultimate Integration on Silicon, Udine Italy, Mar. 12-14, 2008, pp. 89–92.

X. Wang, S. Roy and A. Asenov, "Impact of Strain on LER Variability in bulk MOSFETs," in Proc. 38th European Solid-State Device Research Conference (ESSDERC), Edinburgh Scotland U.K. Sept. 15-19, 2008, pp. 190–193.

X. Wang, S. Roy and A. Asenov, "High Performance MOSFET Scaling Study from Bulk 45 nm Technology Generation," in Proc. 9th International Conference on Solid-State and Integrated-Circuit Technology (ICSICT), Beijing China, Oct. 20-23, 2008, pp. 484–487.

2007

A. Asenov, K. Kalna, I. G. Thayne and R. J. W. Hillman, "Simulation of implant free III-V MOSFETs for high performance low power Nano-CMOS applications," Microelectronic Engineering, Vol. 84, No. 9-10, pp. 2398–2403, 2007.

A. Asenov, C. Millar, S. Roy, D. R. S. Cumming, R. O. Sinnott, G. Stewart, A. F. Murray, D. Berry, A. M. Tyrrell, J. Hilder, S. Furber, S. Pickles, M. McKeown, M. Zwolinski and D. De Roure, "Meeting the Design Challenges of nano-CMOS Electronics," Third International Nanotechnology Conference on Communication and Cooperation: Apr. 16-17, 2007.

J. R. Barker, E. Towie and J. R. Watling, "Inhomogeneous Electron-Impurity Scattering in High Degeneracy, nanometer Scale Silicon MOSFETs including image charge effects: new models," 12th International Workshop on Computational Electronics: Oct. 8-10, 2007.

J. R. Barker, E. Towie and J. R. Watling, Eds., The influence of polarisation and image charges on electron- impurity scattering in high degeneracy, nanometre scale silicon wrap-round gate MOSFETs, 2008, International Symposium on Advanced Nanodevices and Nanotechnology, Waikoloa, Hawaii, USA, Dec. 2-7, 2007.

A. R. Brown, G. Roy and A. Asenov, "Poly-Si Gate Related Variability in Decananometre MOSFETs with Conventional Architecture," IEEE Trans. Electron Dev. Vol. 54, No. 11, pp. 3056–3063, 2007.

A. R. Brown, A. Martinez, M. Bescond and A. Asenov, "Nanowire MOSFET variability: a 3D density gradient versus NEGF approach," in Proc. Silicon Nanoelectronics Workshop, Kyoto, Japan, June 10-11, 2007, pp. 127–128.

B. Cheng, S. Roy and A. Asenov, "CMOS 6-T SRAM cell design subject to ''atomistic" fluctuations," Solid-State Electronics, Vol. 51, No. 4, pp. 565–571, 2007.

B. Cheng, S. Roy and A. Asenov, "The scalability of 8T-SRAM cells under the influence of intrinsic parameter fluctuations," E-MRS IUMRS ICEM 2006 Spring Meeting, Symposium E p1 35: Sept. 11-13, 2007.

B. Cheng, S. Roy and A. Asenov, "Statistical compact model parameter extraction strategy for intrinsic parameter fluctuations," in Proc. Simulation of Semiconductor Processes and Devices, T. Graser and S. Selberherr, Eds., Vienna, Austria, Sept. 2007, pp. 301–304.

B. Cheng, S. Roy and A. Asenov, "Impacts of Random Dopant Fluctuation on Nanometer CMOS Logic Styles," in Proc. 8th International Conference on Ultimate Integration on Silicon, Leuven, Belgium, Mar. 15-16, 2007, pp. 25–28.

T. D. Drysdale, A. R. Brown, G. Roy, S. Roy and A. Asenov, "Interconnect variability within standard cells," 12th International Workshop on Computational Electronics: Oct. 8-10, 2007.

T. D. Drysdale, A. R. Brown, S. Roy, G. Roy and A. Asenov, "Capacitance variability of short range interconnects," Journal of Computational Electronics, Dec. 2007.

G. Ferrari, J. R. Watling, S. Roy, J. R. Barker, P. Zeitzoff, G. Bersuker and A. Asenov, "On the Impact of High-k Gate Stacks on Mobility: A Monte Carlo Study Including Coupled SO Phonon-plasmon Scattering," J. Computational Electronics, 2007.

G. Ferrari, J. R. Watling, S. Roy, J. R. Barker and A. Asenov, "Beyond SiO2 technology: Simulation of the impact of high-kappa dielectrics on mobility," Journal of Non-Crystalline Solids, Vol. 353, No. 5-7, pp. 630–634, 2007.

C. Fujihashi, T. Yukiya and A. Asenov, "Electron and hole current characteristics of n-i-p-type semiconductor quantum dot transistor," IEEE Transactions on Nanotechnology, Vol. 6, No. 3, pp. 320–327, 2007.

L. Han, R. O. Sinnott, G. Stewart, A. Asenov, S. Roy, G. Roy, C. Millar and D. Berry, "Towards a Grid-Enabled Simulation Framework for Nano-CMOS Electronics," IEEE e-Science 2007 Conference: 2007.

K. Kalna, R. Droopad, M. Passlack and A. Asenov, "Monte Carlo simulations of InGaAs nano-MOSFETs," Microelectronic Engineering, Vol. 84, No. 9-10, pp. 2150–2153, 2007.

K. Kalna, J. A. Wilson, D. A. J. Moran, R. J. W. Hillman, A. R. Long, R. Droopad, M. Passlack, I. G. Thayne and A. Asenov, "Monte Carlo simulations of high-performance implant free In0.3Ga0.7As nano-MOSFETs for low-power CMOS applications," IEEE Transactions on Nanotechnology, Vol. 6, No. 1, pp. 106–112, 2007.

J. V. Magill and S. Roy, "Chips for everyone: developing creativity in engineering and initial teacher education," Engineering Education, Vol. 2, No. 1, pp. 40–46, 2007.

S. Markov, A. R. Brown, B. Cheng, G. Roy, S. Roy and A. Asenov, "Three-dimensional statistical simulation of gate leakage fluctuations due to combined interface roughness and random dopants," Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers, Vol. 46, No. 4B, pp. 2112–2116, 2007.

S. Markov, N. Barin, C. Fiegna, S. Roy, E. Sangiorgi and A. Asenov, "Analysis of silicon dioxide transitional region in MOS structures," in Proc. Simulation of Semiconductor Processes and Devices, T. Graser and S. Selberherr, Eds., Vienna, Austria, Sept. 2007, pp. 149–152.

A. Martinez, M. Bescond, J. R. Barker, A. Svizhenko, M. P. Anantram, C. Millar and A. Asenov, "A self-consistent full 3-D real-space NEGF simulator for studying nonperturbative effects in nano-MOSFETs," IEEE Transactions on Electron Devices, Vol. 54, No. 9, pp. 2213–2222, 2007.

A. Martinez, J. R. Barker, A. Svizhenko, M. P. Anantram and A. Asenov, "The impact of random dopant aggregation in source and drain on the performance of ballistic DG Nano-MOSFETs: A NEGF study," IEEE Transactions on Nanotechnology, Vol. 6, No. 4, pp. 438–445, 2007.

A. Martinez, K. Kalna, J. R. Barker and A. Asenov, "A study of the interface roughness effect in Si nanowires using a full 3D NEGF approach," Physica E-Low-Dimensional Systems & Nanostructures, Vol. 37, No. 1-2, pp. 168–172, 2007.

C. Millar, S. Roy, A. R. Brown and A. Asenov, "Simulating the bio-nanoelectronic interface," Journal of Physics-Condensed Matter, Vol. 19, No. 21, 2007.

C. Millar, S. Roy, O. Beckstein, M. S. P. Sansom and A. Asenov, "Continuum versus particle simulation of model nano-pores," Journal of Computational Electronics, Vol. 6, pp. 367–371, 2007.

C. Millar, R. Madathil, O. Beckstein, M. S. P. Sansom, S. Roy and A. Asenov, "Brownian simulation of charge transport in alpha-haemolysin," 12th International Workshop on Computational Electronics: Oct. 8-10, 2007.

C. Riddet, A. R. Brown, C. L. Alexander, J. R. Watling, S. Roy and A. Asenov, "3-D Monte Carlo simulation of the impact of quantum confinement scattering on the magnitude of current fluctuations in double gate MOSFETs," IEEE Transactions on Nanotechnology, Vol. 6, No. 1, pp. 48–55, 2007.

C. Riddet, A. R. Brown, S. Roy and A. Asenov, "Boundary conditions for density gradient corrections in 3D Monte Carlo simulations," 12th International Workshop on Computational Electronics: Oct. 8-10, 2007.

S. Roy, B. Cheng and A. Asenov, "Impact of Intrinsic Parameter Fluctuations in nano-CMOS Devices on Circuits and Systems," International Journal of High Speed Electronics and Systems, Vol. 17, No. 3, pp. 501–508, 2007.

K. Samsudin, F. Adamu-Lema, A. R. Brown, S. Roy and A. Asenov, "Combined sources of intrinsic parameter fluctuations in sub-25 nm generation UTB-SOI MOSFETs: A statistical simulation study," Solid-State Electronics, Vol. 51, No. 4, pp. 611–616, 2007.

N. Seoane, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "Impact of intrinsic parameter fluctuations on the performance of HEMTs studied with a 3D parallel drift-diffusion simulator," Solid-State Electronics, Vol. 51, No. 3, pp. 481–488, 2007.

R. O. Sinnott, A. Asenov, A. R. Brown, C. Millar, S. Roy, G. Roy and G. Stewart, "Grid Infrastructures for the Electronics Domain: Requirements and Early Prototypes from an EPSRC Pilot Project," in Proc. UK e-Science All Hands Meeting, Nottingham, UK, 2007, pp. 509–516.

E. Towie, J. R. Barker and J. R. Watling, "Inhomogeneous Electron-Impurity Scattering in High Degeneracy, Nanometre Scale Silicon MOSFETs," Condensed Matter and Materials Physics 2007: University of Leicester, UK, Apr. 12-13, 2007.

J. Watt, R. O. Sinnott, J. Jiang, T. Doherty, A. J. Stell, D. Martin and G. Stewart, "Federated Authentication & Authorisation for e-Science," Australian Partnership for Advanced Computing Conference and Exhibition 2007: 2007.

2006

M. Aldegunde, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "3D finite element parallel simulator for studying fluctuations in advanced MOSFETs," in Proc. Proc. 11th International Workshop on Computational Electronics, p. 37.

C. L. Alexander, G. Roy and A. Asenov, "Increased intrinsic parameter fluctuations through ab initio Monte Carlo simulations in nano-scaled MOSFETs," in Proc. International Electron Devices Meeting 2006, ser. International Electron Devices Meeting 2006, IEDM, San Fransisco, CA, USA, Dec. 11-13, 2006,

A. Asenov, "A 3D finite element parallel simulator for studying fluctuations in advanced MOSFETs," ser. 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 37.

A. Asenov, A. R. Brown, G. Roy, C. L. Alexander and A. Martinez, "Simulation of Atomic Scale Effects and Fluctuations in nano-scale CMOS," in Proc. Solid-State Devices and Materials (SSDM), Yokohama, Japan, Sept. 12-15, 2006, pp. 358–359.

A. Asenov and K. Samsudin, "Variability in nanoscale SOI devices and its impact on circuits and systems," ser. Nano scaled semiconductor-on-insulator structures and devices, Crimea, Ukraine, pp. 79–79.

A. Asenov, A. R. Brown, B. Cheng, J. R. Watling, G. Roy and C. L. Alexander, "Simulation of nano-CMOS devices: from atoms to architecture," in Nanotechnology for Electronic Materials and Devices, A. Korkin, J. Labanowski, E. Gusev and S. Luryi, Eds. New York: Springer, 2006, pp. 257–303.

J. R. Barker, A. Martinez, A. Svizhenko, M. P. Anantram and A. Asenov, "Green function study of quantum transport in ultra-small devices with embedded atomistic cluster," Journal of Physics Conferences Series, Vol. 38, pp. 233–246, 2006.

J. R. Barker and J. R. Watling, "Model plasma dispersion functions for SO phonon scattering in Monte Carlo simulations of high-k dielectric MOSFETs," ser. 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, pp. 99–100.

J. R. Barker and J. R. Watling, "Non-equilibrium dielectric response of high-k gate stacks in Si MOSFETs: application to SO interface phonon scattering," Journal of Physics Conferences Series, Vol. 38, pp. 255–268, 2006.

J. R. Barker and J. R. Watling, "Atomistic scattering close to an interface," Journal of Physics Conferences Series, Vol. 38, pp. 204–207, 2006.

J. R. Barker, J. R. Watling and G. Ferrari, "SO phonon scattering rates at the Si-HFO2 interface in Si MOSFETs," Journal of Physics Conferences Series, Vol. 38, pp. 184–187, 2006.

M. Bescond, N. Cavassilas, A. Asenov and M. Lannoo, "Effective-mass approach for n-type semiconductor nanowire MOSFETs arbitrary oriented," in Proc. Proc. ULIS 2006, pp. 73–76.

A. R. Brown, J. R. Watling and A. Asenov, "Intrinsic Parameter Fluctuations due to Random Grain Orientations in High-k Gate Stacks," J. Computational Electronics, Vol. 5, pp. 333–336, 2006.

A. R. Brown, J. R. Watling and A. Asenov, "Intrinsic Parameter Fluctuations due to Random Grain Orientations in High-k Gate Stacks," in Proc. Book of Abstracts of the 11th International Workshop on Computational Electronics (IWCE), Vienna, Austria, May 25-27, 2006, pp. 49–50.

A. R. Brown, G. Roy and A. Asenov, "Impact of Fermi level pinning at polysilicon gate grain boundaries on nano-MOSFET variability: A 3-D simulation study," in Proc. 36th European Solid-State Device Research Conference (ESSDERC), Montreux, Switzerland, Sept. 19-21, 2006, pp. 451–454.

B. Cheng, S. Roy and A. Asenov, "Low power, high density CMOS 6-T SRAM cell design subject to ‘atomistic’ fluctuations," in Proc. Proc. ULIS 2006, ISBN:88-900874-0-8, p. 33.

B. Cheng, S. Roy, G. Roy, A. R. Brown and A. Asenov, "Design Consideration of 6-T SRAM towards the End of Bulk CMOS Technology Scaling Subjected to Random Dopant Fluctuation," in Proc. Proc 36th European Solid-State Device Research Conference (ESSDERC),

B. Cheng, S. Roy and A. Asenov, "The Impact of Intrinsic Parameter Fluctuations on Decananometer Circuits, and Circuit Modelling Techniques," in Proc. International Conference - Mixed Design of Integrated Circuits and Systems (MIXDES 2006), June.

B. Cheng, S. Roy and A. Asenov, Eds., Impact of Random Dopant Fluctuation on Bulk CMOS 6-T SRAM Scaling, 2006, Montreux, Switzerland,

G. Ferrari, C. Jacoboni, M. Nedjalkov and A. Asenov, "Introducing energy broadening in semiclassical Monte Carlo simulations," ser. 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 17.

G. Ferrari, J. R. Watling, S. Roy, J. R. Barker and A. Asenov, "Beyond SiO2 technology: The impact of high-k dielectrics," ser. 6 th symposium SiO 2 , advanced dielectrics and related devices : SiO2006,

G. Ferrari, J. R. Watling, S. Roy, J. R. Barker, P. Zeitzoff, G. Bersuker and A. Asenov, "Monte Carlo study of mobility in Si devices with HfO2 based oxides," ser. E-MRS IUMRS ICEM 2006, Nice, France, p. i.

G. Ferrari, J. R. Watling, S. Roy, J. R. Barker, P. Zeitzoff, G. Bersuker and A. Asenov, "On the impact of high-k gate stacks on mobility: a Monte Carlo study including coupled SO phonon-plasmon scattering," ser. 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 111.

G. Ferrari, J. R. Watling, S. Roy, J. R. Barker, P. Zeitzoff, G. Bersuker and A. Asenov, "Monte Carlo study of mobility in Si devices with HfO2-based oxides," Materials Science in Semiconductor Processing, Vol. 9, No. 6, pp. 995–999, 2006.

G. Ferrari, A. Asenov, M. Nedjalkov and C. Jacoboni, "Introducing energy broadening in semiclassical Monte Carlo simulations," J. Computational Electronics, 2006.

M. M. Frank, A. Asenov, J. Fompeyrine, J. W. Seo and P. D. Ye, "From strained silicon to nanotubes- Novel channels for field effect devices," Materials Science and Engineering B-Solid State Materials for Advanced Technology, Vol. 135, No. 3, pp. 177–178, 2006.

K. Kalna, R. J. W. Hillman, J. A. Wilson, D. A. J. Moran, A. R. Long, A. Asenov and I. G. Thayne, "Monte Carlo simulation of sub-30 nm high indium implant free III-V MOSFETs for low power digital applications," ser. UK III-V Compound Semiconductors 2006,

K. Kalna, Q. Wang, M. Passlack and A. Asenov, "Monte Carlo simulations of delta-doping placement in sub-100 nm implant free InGaAs MOSFETs," Materials Science and Engineering B-Solid State Materials for Advanced Technology, Vol. 135, No. 3, pp. 285–288, 2006.

K. Kalna, Q. Wang, M. Passlack and A. Asenov, "MC simulation of delta doping placement in sub 100nm implant free InGaAs MOSFETs," ser. E-MRS IUMRS ICEM 2006, Nice, France, p. i.

K. Kalna, J. A. Wilson, D. A. J. Moran, R. J. W. Hillman, A. R. Long, R. Droopad, M. Passlack, I. G. Thayne and A. Asenov, "MC simulation of high performance InGaAs nano-MOSFETs for low power CMOS applications," ser. IEEE 2006 Silicon Nanoelectronics Workshop, Honolulu, p. 13.

K. Kalna, A. Asenov and M. Passlack, "Monte Carlo simulation of implant free InGaAs MOSFET," Journal of Physics: Conference Series, Vol. 38, pp. 200–203, 2006.

A. R. Long, M. Pioro-Ladriere, J. H. Davies, A. S. Sachrajda, L. Gaudreau, P. Zawadzki, J. Lapointe, J. Gupta, Z. Wasilewski and S. A. Studenikin, "The origin of switching noise in GaAs/AlGaAs lateral gated devices," Physica E-Low-Dimensional Systems & Nanostructures, Vol. 34, No. 1-2, pp. 553–556, 2006.

J. V. Magill and S. Roy, "Chips for everyone ? an innovative approach to development of public engagement events," ser. Participatory approaches in Science and Technology,

S. Markov, A. R. Brown, B. Cheng, G. Roy, S. Roy and A. Asenov, "3D statistical simulation of gate leakage fluctutations due to combined interface roughness and random dopants," in Proc. Solid-State Devices and Materials (SSDM), Yokohama, Japan, Sept. 12-15, 2006, pp. 362–363.

A. Martinez, J. R. Barker, M. P. Anantram, A. Svizhenko and A. Asenov, "Developing a full 3D NEGF simulator with random dopant and interface roughness," ser. 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 275.

A. Martinez, J. R. Barker, A. Svizhenko, M. P. Anantram, M. Bescond and A. Asenov, "Development of a Full 3D NEGF Nano-CMOS simulator," ser. International Conference on Simulation of Semiconductor Processes and Devices, SISPAD 2006, California,USA,

A. Martinez, J. R. Barker, A. Svizhenko, M. P. Anantram, A. R. Brown, B. Biegel and A. Asenov, "The unintentional discrete charges in a nominally undoped channel of a thin body double gate MOSFET: Classical to Full Quantum Simulation," Journal of Physics Conferences Series, Vol. 38, pp. 192–195, 2006.

A. Martinez, A. Svizhenko, M. P. Anantram, J. R. Barker and A. Asenov, "A NEGF study of the effect of surface roughness on CMOS nanotransistors," Progress in Nonequilibrium Green'S Functions Iii, Vol. 35, pp. 269–274, 2006.

A. Martinez, A. Svizhenko, M. P. Anantram, J. R. Barker and A. Asenov, "A NEGF study of the effect of surface roughness on CMOS nanotransistors," Journal of Physics: Conference Series, Vol. 135, 2006.

A. Martinez, J. R. Barker, A. Svizhenko, M. P. Anantram and A. Asenov, "The impact of random dopant aggregation in source and drain on the performance of ballistic DG nano-MOSFETs," in Proc. Proc. IEEE 2006 Silicon Nanoelectronics Workshop, p. 133, Honolulu,

A. Martinez, K. Kalna, J. R. Barker and A. Asenov, "A study of the interface roughness effects in Si-nanowires using a full 3D NEGF approach," in Proc. E-MRS IUMRS ICEM 2006 Spring Meeting, Symposium E p1 35,

T. McMullen, J. H. Davies and A. R. Long, "Additional resistance in periodically modulated two-dimensional electron systems - quantum mechanical or semi-classical?" Physica E-Low-Dimensional Systems & Nanostructures, Vol. 34, No. 1-2, pp. 564–567, 2006.

C. Millar, S. Roy and A. Asenov, "Simulation of Bio-Nano-CMOS devices," ser. E-MRS IUMRS ICEM 2006, Nice, France, p. i.

C. Millar, S. Roy, O. Beckstein, M. S. P. Sansom and A. Asenov, "Continuum versus particle simulation of model nano-pores," ser. 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 367.

C. Millar, S. Roy, O. Beckstein, M. S. P. Sansom and A. Asenov, "Continuum Vs. Particle Simulations of Model Nano-Pores," J. Computational Electronics, 2006.

C. Millar, A. Asenov and S. Roy, "P3M Modelling of Biological Systems," in Proc. E-MRS IUMRS ICEM 2006 Spring Meeting, Symposium Q, July.

S. H. Paluchowski, M. J. Milgrew, S. Roy and D. R. S. Cumming, "CMOS combinational logic design for GaAs heterostructure MOSFET technology," Proc. Conf. Optoelectronic and Microelectronic Materials and Devices (COMMAD): Perth, Australia, Dec. 2006.

C. Riddet, A. R. Brown, C. L. Alexander, S. Roy and A. Asenov, "Efficient density gradient quantum corrections for 3D Monte Carlo simulations," ser. International Conference on Simulation of Semiconductor Processes and Devices, SISPAD 2006, California,USA,

F. Rodriguez-Salazar and J. R. Barker, "Hamming hypermeshes: High performance interconnection networks for pin-out limited systems," Performance Evaluation, Vol. 63, No. 8, pp. 759–775, 2006.

G. Roy, A. R. Brown, F. Adamu-Lema, S. Roy and A. Asenov, "Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional nano-MOSFETs," IEEE Transactions on Electron Devices, Vol. 53, No. 12, pp. 3063–3070, 2006.

G. Roy, A. R. Brown, F. Adamu-Lema, S. Roy and A. Asenov, "Intrinsic Parameter Fluctuations in Conventional MOSFETs until end of the ITRS," Journal of Physics Conferences Series, Vol. 38, pp. 188–191, 2006.

S. Roy, B. Cheng and A. Asenov, "Impact of intrinsic parameter fluctuation in nano-CMOS devices on circuits and systems," ser. International Topical Workshop on Tera- and Nano- Devices: Physics and Modelling, pp. 24–25.

K. Samsudin, F. Adamu-Lema, A. R. Brown, S. Roy and A. Asenov, "Intrinsic parameter fluctuations in sub-10nm generation UTB SOI MOSFETs," ser. 7 th European Workshop on ULtimate Integration of Silicon , ULIS 2006, pp. 93–96.

K. Samsudin, B. Cheng, A. R. Brown, S. Roy and A. Asenov, "Sub-25 nm UTB SOISRAM cell under the influence of discrete random dopants," Solid-State Electronics, Vol. 50, No. 4, pp. 660–667, 2006.

K. Samsudin, B. Cheng, A. R. Brown, S. Roy and A. Asenov, "Integrating intrinsic parameter fluctuation description into BSIMSOI to forecast sub-15 nm UTB SOI based 6T SRAM operation," Solid-State Electronics, Vol. 50, No. 1, pp. 86–93, 2006.

N. Seoane, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "Atomistic effect of delta doping layer in a 50 nm InP HEMT," Journal of Computational Electronics, Vol. 5, pp. 131–135, 2006.

N. Seoane, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "Current variations in PHEMTS introduced by channel composition fluctuations," Journal of Physics Conferences Series, Vol. 38, pp. 212–215, 2006.

N. Seoane, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "A 3D parallel simulation of the effect of interface charge fluctuations in HEMTs," ser. 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 81.

R. O. Sinnott, A. Asenov, D. Berry, S. Roy, S. Furber, D. R. S. Cumming, A. Tyrrell, A. F. Murray, M. Zwolinski, S. Pickles and C. Millar, "Meeting the Design Challenges of nanoCMOS Electronics: An Introduction to an EPSRC Pilot Project," in Proc. UK e-Science All Hands Meeting, Sept. 2006,

I. G. Thayne, D. A. J. Moran, K. Kalna, A. Asenov, K. Elgaid, R. J. W. Hillman, J. A. Wilson, A. R. Long, X. Li, H. P. Zhou, D. S. Macintyre, S. Thoms, M. C. Holland and C. R. Stanley, "III-V MOSFETs for Digital Applications: an overview," ser. UK III-V Compound Semiconductors 2006, Sheffield, UK,

J. J. Trinder, J. V. Magill and S. Roy, "A call to arms for handheld devices," ser. International Computer Assisted Assessment Conference, pp. 411–416.

2005

C. L. Alexander, A. R. Brown, J. R. Watling and A. Asenov, "Impact of single charge trapping in nano-MOSFETs - Electrostatics versus transport effects," IEEE Transactions on Nanotechnology, Vol. 4, No. 3, pp. 339–344, 2005.

C. L. Alexander, A. R. Brown, J. R. Watling and A. Asenov, "Impact of scattering in 'atomistic' device simulations," Solid-State Electronics, Vol. 49, No. 5, pp. 733–739, 2005.

A. Asenov and G. H. Bernstein, "Special Issue on 2004 Silicon Nanoelectronics Workshop - Guest editorial," IEEE Transactions on Nanotechnology, Vol. 4, No. 3, pp. 301–302, 2005.

A. Asenov, "Nano CMOS devices and their integration in giga transistor chips," ser. Future of Intergrated Systems - FIS,

A. Asenov, "Monte Carlo simulation of nanotransistors and giga circuits on HPC," ser. 5th International Conference on Large-Scale Scientific Computations,

J. R. Barker, "Quantised vortex flows and conductance fluctuations in high temperature atomistic silicon MOSFET devices," Physics of Semiconductors, Pts A and B, Vol. 772, pp. 1493–1494, 2005.

J. R. Barker, "Predicting current flow ; quantum tranport from atom to transistor," Chemistry World, Vol. 2, No. 11, 2005.

J. R. Barker and A. Martinez, "Vortex Flows in Semiconductor Device Quantum Channels: Time-Dependent Simulation," Computational Electronics, Vol. 3, pp. 401–405, 2005.

J. R. Barker and A. Barmpoutis, "Smart Dust: Monte Carlo Simulation of Self-Organised Transport," Computational Electronics, Vol. 3, pp. 317–321, 2005.

J. R. Barker, "SO phonon scattering in high-k dielectrics: the role of phono-plasmon coupling," ser. Material Modelling International Workshop,

J. R. Barker, A. Martinez, A. Svizhenko, M. P. Anantram and A. Asenov, "Green function study of quantum transport in ultrasmall devices with embedded atomistic clusters," ser. 3rd International Workshop on Progress in non-equilibrium Green functions,

J. R. Barker and J. R. Watling, "Non-equlibrium dielectric response of high-k stacks in Si MOSFETs: application to SO interface phonon scattering," ser. 3rd International Workshop on Progress in non-equilibrium Green functions,

J. R. Barker and J. R. Watling, "Atomistic scattering close to an interface," ser. Conference on New Phenomena in Mesoscopic Strucutres, Surfaces and Interfaces in Mesoscopic Devices NPMS-SIMD-5,

J. R. Barker, J. R. Watling and G. Ferrari, "SO phonon scattering rates at the Si-HfO2 interface in Si MOSFETs," ser. Conference on New Phenomena in Mesoscopic Strucutres, Surfaces and Interfaces in Mesoscopic Devices NPMS-SIMD-5,

J. R. Barker, "Quantum transport in nano-CMOS devices: the role of vortices," London, UK,

J. R. Barker, "Physics at the scaling limit," ser. Solid State Devices Meeting SSDM 2005,

J. R. Barker, J. R. Watling, A. R. Brown, S. Roy, P. Zeitzoff, G. Bersuker and A. Asenov, "Monte Carlo study of couples SO phonon-plasmon scattering in Si MOSFETs with high-k dielectric gate stacks: hot electron and disorder effects," in Proc. 14th International Conference on Hot Carriers in Semiconductors (HCIS14), July p. TU 4–2.

A. Barmpoutis and J. R. Barker, "Self-organized Transportation System of Smart Dust Distributed Sensors," in Proc. Proceedings ICDSNS05: Innovations and Commercial Applications of Distributed Sensor Networks Symposia,

M. Bescond, N. Cavassilas, K. Kalna, K. Nehari, L. Raymond, J. L. Autran, M. Lanu and A. Asenov, "Ballistic transport in Si, Ge and GaAs Nanowire MOSFETs," ser. IEEE International Electron Device Meeting, Washington DC, USA, pp. 533–536.

M. Bescond, N. Cavassilas, K. Nehari, J. L. Autran, M. Lannoo and A. Asenov, "Impact of point defects in nanowire silicon MOSFETs," ser. European Solid-State Device Research Conference 2005 - ESSDERC2005, Grenoble, France,

M. Bescond, N. Cavassilas, L. Raymond and A. Asenov, "Effective masses in arbitrary oriented ballistic nanowire MOSFETS," ser. 14th International Conference on Nonequilibrium Carrier Dynamics in Semiconductors - HCIS, Chicago, USA, p. 42.

M. Bescond, N. Cavassilas, K. Kalna, K. Nehari, J. L. Autran, M. Lannoo and A. Asenov, "Simulation study of performance limits for Si, Ge, and GaAs ballistic nanowire MOSFETs," in Proc. Proc. Silicon Nanoelectronics Workshop (SNW 2005), Kyoto, Japan, June pp. 8–9.

A. R. Brown, A. Asenov, S. Roy and J. R. Barker, "Parallel 3D finite element power semiconductor device simulator based on topologically rectangular grid," in Proc. Simulation of Semiconductor Devices and Processes, 2005, pp. 336–339.

A. R. Brown, J. R. Watling, A. Asenov, G. Bersuker and P. Zeitzoff, "Intrinsic parameter fluctuations in MOSFETs due to structural non-uniformity of high-k gate stack materials," in Proc. 2005 International Conference on Simulation of Semiconductor Processes and Devices, Tokyo, Japan, Sept. 1-3, 2005, pp. 27–30.

B. Cheng, S. Roy, G. Roy, F. Adamu-Lema and A. Asenov, "Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells," Solid-State Electronics, Vol. 49, No. 5, pp. 740–746, 2005.

B. Cheng, S. Roy, A. Martinez and A. Asenov, "Impact of Oxide Thickness Fluctuation on MOSFETs Gate Tunnelling," in Proc. Proc SSDM (Japan 2005),

J. H. Davies, P. Offermans and P. M. Koenraad, "Relaxation of a strained quantum well at a cleaved surface. Part II: Effect of cubic symmetry," Journal of Applied Physics, Vol. 98, No. 5, 2005.

A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "Efficient three-dimensional parallel simulations of PHEMTs," International Journal of Numerical Modelling-Electronic Networks Devices and Fields, Vol. 18, No. 5, pp. 327–340, 2005.

A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "New sources of intrinsic parameter fluctuations introduced by a high-k dielectric in sub-100nm Si MOSFETs," ser. 18th International Conference on Noise and Fluctuations, Salamanca, Spain, pp. 239–242.

N. H. Hamid, A. F. Murray, D. I. Laurenson and S. Roy, "Probabilistic neural computing with future deep sub-micrometer MOSFETs: A modelling approach," ser. IEEE International Symposiumon Circuits And Systems 2005, Kobe, Japan,

K. Kalna, L. Yang and A. Asenov, "Fermi-dirac statistics in Monte Carlo simulations of InGaAs MOSFETs," ser. 14th International Conference on Nonequilibrium Carrier Dynamics in Semiconductors - HCIS, Chicago, USA,

K. Kalna, A. Asenov and M. Passlack, "Monte Carlo simulation of implant free InGaAs MOSFETs," ser. New Phenomena in Mesoscopic Structures - 7 (NPMS) and the fifth in the series of Surfaces and Interfaces of Mesoscopic Devices (SIMD), NPMS-7/ SIMD-5,

K. Kalna, L. Yang and A. Asenov, "Monte Carlo simulation of sub-100 nm InGaAs MOSFETs for Digital applications," in Proc. Proc 35th European Solid-State Device Research Conference (ESSDERC), Sept. pp. 169–172.

K. Kalna, K. Elgaid, I. G. Thayne and A. Asenov, "Modelling of InP HEMTs with high Indium content channels," in Proc. Proc. Indium Phosphide and Related Materials Conf. pp. 61–65.

A. Martinez, J. R. Barker, A. Svizhenko, M. Bescond, M. P. Anantram and A. Asenov, "A 2D-NEGF quantum transport study of unintentional charges in a double gate nanotransistor," ser. 14th International Conference on Nonequilibrium Carrier Dynamics in Semiconductors - HCIS, Chicago, USA,

A. Martinez, J. R. Barker, A. Svizhenko, M. P. Anantram, A. R. Brown, B. Biegel and A. Asenov, "The impact of unintentional discrete charges in a nominally undoped channel of a thin body double gate MOSFETS: Classical to full quantum simulation," ser. New Phenomena in Mesoscopic Structures - 7 (NPMS) and the fifth in the series of Surfaces and Interfaces of Mesoscopic Devices (SIMD), NPMS-7/ SIMD-5,

A. Martinez, A. Svizhenko, M. P. Anantram, J. R. Barker, A. R. Brown, B. Biegel and A. Asenov, "Impact of stray charges on the characteristics of nano-DGMOSFETs in the ballistic regime: A NEGF simulation study," ser. Silicon Nanoelectronics Workshop 2005, pp. 76–77.

A. Martinez, A. Svizhenko, M. P. Anantram, J. R. Barker, A. R. Brown and A. Asenov, "A study of the effect of interface roughness on a DG-MOSFET using full 2D NEGF technique," in Proc. IEDM 2005 Tech. Dig. pp. 627-630,

T. McMullen, E. Skuras, K. J. Kirk, J. A. Wilson, J. H. Davies and A. R. Long, "Contributions to the resistivity of a 2DEG from magnetically ordered array of sub-micron cobalt elements," Physics of Semiconductors, Pts A and B, Vol. 772, pp. 465–466, 2005.

C. Millar, A. Asenov and S. Roy, "Self-consistent particle simulation of ion channels," Journal of Computational and Theoretical Nanoscience, Vol. 2, No. 1, pp. 56–67, 2005.

C. Millar, A. Asenov, S. Roy and A. R. Brown, "Simulating the bio-nano-CMOS interface," ser. 5th IEEE conference on Nanotechnology, Nagoya, Japan,

C. Millar and A. Asenov, "P3M Simulation of Biological Ion Channels," in Handbook of Theoretical and Computational Nanotechnology. American Scientific Publishers, 2005,

C. Millar, A. Asenov, A. R. Brown and S. Roy, "Tracking the propagation of individual ions through nano-MOSFETs," J. Computational Electronics, Vol. 4, No. 1-2, pp. 185–188, Apr. 2005.

C. Millar, A. Asenov and S. Roy, "Simulating Ion Channels and their Nano-CMOS Interface," in Proc. U.K.-Korea Joint Symposium on Bio-Technology, Feb.

M. Pioro-Ladriere, J. H. Davies, A. R. Long, A. S. Sachrajda, L. Gaudreau, P. Zawadzki, J. Lapointe, J. Gupta, Z. Wasilewski and S. A. Studenikin, "Origin of switching noise in GaAs/AlxGa1-xAs lateral gated devices," Physical Review B, Vol. 72, No. 11, 2005.

C. Riddet, A. R. Brown, C. L. Alexander, J. R. Watling, S. Roy and A. Asenov, "Impact of quantum confinement scattering on the magnitude of current fluctuations in double gate MOSFETs," ser. Silicon Nanoelectronics Workshop 2005,

F. Rodriguez-Salazar and J. R. Barker, "Hilbert Graph: An Expandable Interconnection for Clusters," Computational Electronics, Vol. 3, pp. 145–148, 2005.

G. Roy, F. Adamu-Lema, A. R. Brown, S. Roy and A. Asenov, "Simulation of combined sources of intrinsic parameter fluctuations in 'real' 35nm MOSFET," ser. European Solid-State Device Research Conference 2005 - ESSDERC2005, Grenoble, France,

G. Roy, F. Adamu-Lema, A. R. Brown, S. Roy and A. Asenov, "Intrinsic parameter fluctuations in conventional MOSFETs until the end of the ITRS," ser. New Phenomena in Mesoscopic Structures - 7 (NPMS) and the fifth in the series of Surfaces and Interfaces of Mesoscopic Devices (SIMD), NPMS-7/ SIMD-5,

S. Roy and A. Asenov, "Where do the dopants go?" Science, Vol. 309, No. 5733, pp. 388–390, 2005.

K. Samsudin, B. Cheng, A. R. Brown, S. Roy and A. Asenov, "Impact of random dopant induced fluctuations on sub-15nm UTB SOI 6T SRAM cells," 2005 IEEE International SOI Conference, Proceedings, pp. 61–62, 2005.

K. Samsudin, B. Cheng, A. R. Brown, S. Roy and A. Asenov, "UTB SOI SRAM cell stability under the influence of intrinsic parameter fluctuation," ser. European Solid-State Device Research Conference 2005 - ESSDERC2005, Grenoble, France, pp. 553–556.

K. Samsudin, B. Cheng, A. R. Brown, S. Roy and A. Asenov, "Impact of body thickness fluctuation in nanometre scale UTB SOI MOSFETs on SRAM cell functionality," in Proc. 6th European Conference on Ultimate Integration of Silicon (ULIS05), Apr.

N. Seoane, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "Indium content fluctuations in the channel of a 120nm PHEMT," ser. New Phenomena in Mesoscopic Structures - 7 (NPMS) and the fifth in the series of Surfaces and Interfaces of Mesoscopic Devices (SIMD), NPMS-7/ SIMD-5,

N. Seoane, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "Discrete doping fluctuations in the delta layer of a 50nm InP HEMT," ser. MSED 2005 Modeling and Simulation of Electron Devices,

N. Seoane, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "A high performance parallel device simulator for high electron mobility transistors," ser. Parallel Computing 2005,

N. Seoane, A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "Discrete doping fluctuations in the delta layer of a 50 nm InP HEMT," in Proc. Proc. Modeling and Simulation of Electron Devices, July pp. 78–79.

J. J. Trinder, J. V. Magill and S. Roy, "Portable assessment: towards ubiquitous education," International Journal of Electrical Engineering Education, Vol. 42, No. 1, pp. 73–78, 2005.

J. J. Trinder, J. V. Magill and S. Roy, "Mobile technologies and systems," in Mobile learning: A handbook for educators and trainers, T. A. J. Kukulska-Hulme, Ed. Routledge, London, 2005,

J. J. Trinder, J. V. McGill and S. Roy, "Expect the unexpected: practicalities and problems of a PDA project," in Mobile learning: A handbook for educators and trainers, T. A. J. Kukulska-Hulme, Ed. Routledge, London, 2005,

J. J. Trinder, J. V. Magill and S. Roy, "Mobile Learning: A handbook for educators and trainers," Routledge, 2005,

J. R. Watling, L. Yang, A. Asenov, J. R. Barker and S. Roy, "Impact of high-k dielectric HfO2 on the mobility and device performance of sub-100-nm nMOSFETs," IEEE Transactions on Device and Materials Reliability, Vol. 5, No. 1, pp. 103–108, 2005.

J. R. Watling, A. R. Brown, C. L. Alexander, G. Ferrari, J. R. Barker, G. Bersuker, P. Zeitzoff and A. Asenov, "Electrostatic and transport variations in nano CMOS devices due to variations in high-k oxides," ser. 2nd International Workshop on Advanced Gate Stack Technology, Texas, USA,

J. R. Watling, A. Asenov, J. R. Barker and S. Roy, "The impact of the interfacial layer and structure of the k dielectric (HfO2) on device performance," ser. Advanced Gate Stack Engineering Conference,

J. R. Watling, A. Asenov, J. R. Barker and S. Roy, "Transport in the presence of high-k dielectrics," ser. Material Modelling International Workshop,

L. Yang, J. R. Watling, J. R. Barker and A. Asenov, "The impact of soft-optical phonon scattering due to high-kappa dielectrics on the performance of sub-100nm conventional and strained Si n-MOSFETs," ser. PHYSICS OF SEMICONDUCTORS Aip conference proceedings, MELVILLE, pp. 1497–1498.

L. Yang, J. R. Watling, F. Adamu-Lema and A. Asenov, "Simulations of Sub-100 nm Strained Si MOSFETs with High-k Gate Stacks," Computational Electronics, Vol. 3, pp. 171–176, 2005.

2004

F. Adamu-Lema, S. Roy, A. R. Brown, A. Asenov and G. Roy, "Intrinsic parameter fluctuations in conventional MOSFETs at the scaling limit: a statistical study," in Proc. 10th International Workshop on Computational Electronics (IWCE), West Lafayette, IN, USA, Oct. 24-27, 2004, pp. 44–45.

F. Adamu-Lema, G. Roy, A. R. Brown, A. Asenov and S. Roy, "Intrinsic parameter fluctuations in conventional MOSFETs at the scaling limit:a statistical study," J. Computational Electronics, Vol. 3, pp. 203–206, 2004.

C. L. Alexander, J. R. Watling and A. Asenov, "Numerical carrier heating when implementing (PM)-M-3 to study small volume variations," Semiconductor Science and Technology, Vol. 19, No. 4, p. S139–S141, 2004.

C. L. Alexander, A. R. Brown, J. R. Watling and A. Asenov, "Impact of scattering on random dopant induced current fluctuations in decanano MOSFETs," in Proc. SISPAD, ser. Simulation of Semiconductor Processes and Devices, pp. 223–226.

C. L. Alexander, A. R. Brown, J. R. Watling and A. Asenov, "Impact scattering in 'atomistic' device simulation," in Proc. Ultimate Intigration of Silicon, ser. 5th European Workshop on Ultimate Integration of Silicon - ULIS04,

C. L. Alexander, A. R. Brown, J. R. Watling and A. Asenov, "Impact of single charge trapping in nano-MOSFETs," in Proc. Silicon Nanoelectronics Workshop, ser. IEEE 2004 Silicon Nanoelectronics Workshop, Honolulu,

C. L. Alexander, J. R. Watling and A. Asenov, "Small volume mobility variations due to lonised impurity scattering," Semiconductor Science and Technology, Vol. 10S, pp. 139–141, 2004.

C. L. Alexander, A. R. Brown, J. R. Watling and A. Asenov, "Impact of scattering in 'atomistic' device simulation," in Proc. Proc. ULIS 2004, Leuven Belgium, pp. 89–92.

A. Asenov, G. Roy, C. L. Alexander, A. R. Brown, J. R. Watling and S. Roy, "Quantum mechanical and transport aspects of resolving discrete charges in nano-CMOS device simulation," in Proc. 4th IEEE Conference on Nanotechnology (IEEE Nano), Munich, Germany, Aug. 17-19, 2004, pp. 334–336.

A. Asenov and K. Kalna, "Scaling the HEMT to sub-100nm dimensions: a simulation study," in Proc. Proceedings of Asian Pacific Microwave Conference APMC'04,

A. Asenov, A. R. Brown and S. Kaya, "Atomistic Simulation of Decanano MOSFETs," in Predictive Simulation of Semiconductor Processing: Status and Challenges, J. Dabrowski and E. R. Weber, Eds. Berlin: Springer, 2004, pp. 111–156.

J. R. Barker, "Non-equilibrium quantum transport in finite device structures in the presence of non-self-averaged atomistic impurity scattering," Semiconductor Science and Technology, Vol. 19, No. 4, p. S56–S59, 2004.

J. R. Barker, "Quantum fluctuations in atomistic silicon and silicon-germanium semiconductor MOSFET devices," ser. IoP Condensed Matter and Materials Physics Conference CMMP04, Warwick, UK, pp. 98–98.

J. R. Barker, "Quantised vortex flows and conductance fluctuations in high temperature atomistic silicon MOSFET devices," ser. Proceedings 27th International Conference on Physics of Semiconductors, ICPS04, Arizona, USA,

J. R. Barker and A. Martinez, "Vortex flows in semiconductor device quantum channels: time-dependent simulation," ser. International workshop on Computational Electronics, IWCE-10, West Lafeyette, USA,

J. R. Barker and A. Barmpoutis, "Smart-dust Monte Carlo simulation of self-organised transport," ser. International workshop on Computational Electronics, IWCE-10, West Lafayette, USA,

J. R. Barker, ", Quantum fluctuations in atomistic semiconductor devices," Superlattices and Microstructures, Vol. 34, p. 361, 2004.

M. Boriçi, J. R. Watling, R. C. W. Wilkins, L. Yang, J. R. Barker and A. Asenov, "Interface roughness scattering and its impact on electron transport in relaxed and strained Si n-MOSFETs," Semiconductor Science and Technology, Vol. 19S, No. 4, pp. 155–157, 2004.

B. Cheng, S. Roy and A. Asenov, "The impact of random dopant effects on SRAM cells," ser. 30th European Solid-State Circuits Confernece ESSCIRC 2004, pp. 219–222.

B. Cheng, S. Roy and F. Adamu-Lema, "Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells," ser. 5th European Workshop on Ultimate Integration of Silicon - ULIS04, pp. 23–26.

B. Cheng, S. Roy and A. Asenov, "Compact model strategy for studying the impact of intrinsic parameter fluctuations on circuit performance," ser. 11th International Conference Mixed Design of Integrated Circuits and Systems, pp. 51–55.

S. Chowdhury, A. R. Long, E. Skuras, J. H. Davies, K. A. Lister, G. Pennelli and C. R. Stanley, "Inverse flux quantum periodicity in the amplitudes of commensurability oscillations in two-dimensional lateral surface superlattices," Physical Review B, Vol. 69, No. 3, p. art–035330, 2004.

K. Kalna and A. Asenov, "Role of multiple delta doping in PHEMTs scaled to sub-100 nm dimensions," Solid-State Electronics, Vol. 48, No. 7, pp. 1223–1232, 2004.

K. Kalna, M. Boriçi, L. Yang and A. Asenov, "Monte Carlo simulations of III-V MOSFETs," Semiconductor Science and Technology, Vol. 19, No. 4, p. S202–S205, 2004.

K. Kalna, L. Yang, J. R. Watling and A. Asenov, "80nm InGaAs MOSFET compared to equivalent Si transistor," ser. 5th European Workshop on Ultimate Integration of Silicon - ULIS04, pp. 159–162.

A. Lee, A. R. Brown, A. Asenov and S. Roy, "RTS amplitudes in decanano n-MOSFETs with conventional and high- k gate stacks," ser. Conference on Solid State Devices and Materials - SSDM 2004,

A. Lee, A. R. Brown, A. Asenov and S. Roy, "RTS amplitudes in decanano n-MOSFETs with conventional and high-k gate stacks," J. Computational Electronics, Vol. 3, pp. 247–250, 2004.

A. Lee, A. R. Brown, A. Asenov and S. Roy, "RTS amplitudes in decanano n-MOSFETs with conventional and high-k gate stacks," in Proc. International Workshop on Computational Electronics (IWCE 10), Oct. pp. 159–160.

T. McMullen, E. Skuras, K. J. Kirk, J. H. Davies and A. R. Long, "The 2DEG as a non-invasive tool for determining the switching behaviour in cobalt needle arrays," Physica E-Low-Dimensional Systems & Nanostructures, Vol. 22, No. 1-3, pp. 745–748, 2004.

C. Millar, A. Asenov, A. R. Brown and S. Roy, "Tracking the propagation of individual ions through ion channels with nano-MOSFETs," in Proc. 10th International Workshop on Computational Electronics (IWCE), ser. International workshop on Computational Electronics, IWCE-10, West Lafayette, IN, USA, Oct. 24-27, 2004, pp. 205–206.

C. Millar, "3D Simulation Techniques For Biological Ion Channels," thesis thesis, University of Glasgow, Glasgow, 2004.

C. Riddet, A. R. Brown, C. L. Alexander, J. R. Watling, S. Roy and A. Asenov, "Scattering from body thickness fluctuations in double gate MOSFETs. An ab initio Monte Carlo simulation study," ser. International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 194–195.

C. Riddet, A. R. Brown, C. L. Alexander, J. R. Watling, S. Roy and A. Asenov, "Scattering From Body Thickness Fluctuations in Double Gate MOSFETs. An ab initio Monte Carlo Study." J. Comp. Elec, Vol. 3, pp. 341–345, 2004.

F. Rodriguez-Salazar and J. R. Barker, "Linear feedback shift register interconncetion networks," ser. Proceedings of the 2004 workshop on Massively Parallel Processing - IPDPS 2004,

F. Rodriguez-Salazar and J. R. Barker, "Hilbert Graph: an expandable interconnection network for clusters," ser. International workshop on Computational Electronics, IWCE-10, West Lafayette, USA,

J. J. Trinder, J. V. Magill and S. Roy, "Old hat in a brave new world," ser. 8th International Computer Aided Assessment 2004,

P. Vasilopoulos, X. F. Wang, F. M. Peeters, S. Chowdhury, A. R. Long and J. H. Davies, "Magneto resistance oscillations in a modulated 2DEG periodic in the ratio h/e to flux per unit cell," Physica E-Low-Dimensional Systems & Nanostructures, Vol. 22, No. 1-3, pp. 389–393, 2004.

J. R. Watling, L. Yang, M. Boriçi, R. C. W. Wilkins, A. Asenov, J. R. Barker and S. Roy, "The impact of interface roughness scattering and degeneracy in relaxed and strained Si n-channel MOSFETs," Solid-State Electronics, Vol. 48, No. 8, pp. 1337–1346, 2004.

J. R. Watling, L. Yang, J. R. Barker and A. Asenov, "The impact of high- k dielectrics on the future performance of nano-scale MOSFETs," ser. IoP Condensed Matter and Materials Physics Conference CMMP04, Warwick, UK,

J. R. Watling, L. Yang, A. Asenov, J. R. Barker and S. Roy, "Impact of high- k dielectric HfO2 on the mobility and device performance of sub-100nm n-MOSFETs," ser. International workshop on electrical characterization and reliability of high- k devices, Austin, USA,

L. Yang, A. Asenov, J. R. Watling, M. Boriçi, J. R. Barker, S. Roy, K. Elgaid, I. G. Thayne and T. Hackbarth, "Impact of device geometry and doping strategy on linearity and RF performance in Si/SiGe MODFETs," Microelectronics Reliability, Vol. 44, No. 7, pp. 1101–1107, 2004.

L. Yang, J. R. Watling, A. Asenov and J. R. Barker, "Performance degradation due to soft optical phonon scattering in conventional and strained Si MOSFETs with high-k gate dielectrics," ser. 34th European Solid-State Device research Conference, ESSDERC,

L. Yang, J. R. Watling, A. Asenov, J. R. Barker and S. Roy, "Mobility and device performance in conventional and strained Si MOSFETs with high-k stack," ser. International Conference on Simulation of Semiconductor Processes and Devices, SISPAD, pp. 199–202.

L. Yang, J. R. Watling, R. C. W. Wilkins, J. R. Barker and A. Asenov, "Reduced interface roughness in sub-100nm strained Si n-MOSFETs - A Monte Carlo simulation study," ser. 5th European Workshop on Ultimate Integration of Silicon - ULIS04, pp. 23–26.

L. Yang, J. R. Watling, R. C. W. Wilkins, J. R. Barker and A. Asenov, "Monte-Carlo investigation of interface roughness scattering in relaxed and strained Si n-MOSFETs," ser. Condensed Matter and Materials Physcis Conference - CMMP04, Warwick, UK,

L. Yang, J. R. Watling, F. Adamu-Lema, A. Asenov and J. R. Barker, "Simulations of sub-100nm strained Si MOSFETs with high k gate stacks," ser. International workshop on Computational Electronics, IWCE-10, West Lafeyette, USA,

L. Yang, J. R. Watling, A. Asenov, J. R. Barker and S. Roy, "Sub-100nm strained Si CMOS: Device performance and circuit behavior," ser. 7th International Conference on Solid State and Intergrated Circuit Technology,

L. Yang, J. R. Watling, R. C. W. Wilkins, M. Boriçi, J. R. Barker, A. Asenov and S. Roy, "Si/SiGe heterostructure parameters for device simulations," Semiconductor Science and Technology, Vol. 19, No. 10, pp. 1174–1182, 2004.

L. Yang, J. R. Watling, J. R. Barker and A. Asenov, "The impact of soft-optical phonon scattering due to high-κ dielectrics on the performance of sub-100nm conventional and strained Si n-MOSFETs," in Proc. 27th International Conference on Physics of Semiconductors (ICPS04), July.

L. Yang, J. R. Watling, F. Adamu-Lema, A. Asenov and J. R. Barker, "Scaling study of Si and strained Si n-MOSFETs with different high-k gate stacks," in Proc. 2004 International Electron Device Meeting (IEDM), Dec.

L. Yang, J. R. Watling, A. Asenov, J. R. Barker and S. Roy, "Device performance in conventional and strained Si MOSFETs with high-κ gate stack," in Proc. IEEE International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Sept.

2003

C. L. Alexander, J. R. Watling and A. Asenov, "Artificial carrier heating due to the introduction of ab-initio Coulomb scattering in Monte Carlo simulations," in Proc. Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices (NPMS-6/SIMD-4),

C. L. Alexander, J. R. Watling and A. Asenov, "Small volume mobility variations due to ionised impurity scattering," in Proc. Internation Conference on Nonequilibrium Dynamics in Semiconductors, ser. 13th International Conference on Nonequilibrium Carrier Dynamics - HCIS 13,

C. L. Alexander, J. R. Watling and A. Asenov, "Mobility variations in ultra-small devices due to discrete charges." J. Computational Electronics, Vol. 2, pp. 285–289, 2003.

C. L. Alexander, A. R. Brown, J. R. Watling and A. Asenov, "Artificial carrier heating due to the introduction of ab-initio Coulomb scattering in Monte Carlo simulations." Superlattices and Microstructures, Vol. 34, No. 3-6, pp. 319–326, 2003.

C. L. Alexander, J. R. Watling and A. Asenov, "Mobility variations in ultra-small devices due to discrete charges," in Proc. Extended Abstracts IWCE-9, Rome 2003,

A. Asenov, A. R. Brown, J. H. Davies, S. Kaya and G. M. Slavcheva, "Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs," IEEE Transactions on Electron Devices, Vol. 50, No. 9, pp. 1837–1852, 2003.

A. Asenov, S. Kaya and A. R. Brown, "Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness," IEEE Transactions on Electron Devices, Vol. 50, No. 5, pp. 1254–1260, 2003.

A. Asenov, R. Balasubramaniam, A. R. Brown and J. H. Davies, "RTS amplitudes in decananometer MOSFETs: 3-D Simulation Study," IEEE Transactions on Electron Devices, Vol. 50, No. 3, pp. 839–845, 2003.

A. Asenov, A. R. Brown and J. R. Watling, "Quantum corrections in the simulation of decanano MOSFETs," Solid-State Electronics, Vol. 47, No. 7, pp. 1141–1145, 2003.

A. Asenov, "Brownian approach to simulation of ionic solutions and ion permeation through protein channels," ser. IVth International Association for Mathematics and Computers in Simulation - IMACS Seminar on Monte Carlo Methods,

A. Asenov, "Modeling end-of-the roadmap transistors," ser. 203rd Electrochemical Society (ECS) Meeting, p. 974.

A. Asenov, A. R. Brown, J. H. Davies, S. Kaya and G. M. Slavcheva, "Simulation of Intrinsic Parameter Fluctuations in Decananometre and Nanometre scale MOSFET's." IEEE Trans. Electron Dev. Vol. 50, pp. 1837–1852, 2003.

A. Asenov, J. R. Watling, A. R. Brown and D. K. Ferry, "The Use of Quantum Potentials for Confinement and Tunnelling in Semiconductor Devices," J. Computational Electronics, Vol. 1, pp. 503–513, 2003.

J. R. Barker, "A theoretical study of atomistic effects on the quantum hydrodynamics of carriers in decanano semiconductor devices using non-self-averaged Green functions," Physica E-Low-Dimensional Systems & Nanostructures, Vol. 19, No. 1-2, pp. 62–70, 2003.

J. R. Barker, "Green function simulation study of non self-averaging scattering processes atomistic semiconductor devices," Journal of Computational Electronics, Vol. 2, pp. 153–161, 2003.

J. R. Barker, "Quantum hydrodynamics of normal vortices in open semiconductor quantum dots," ser. Proceedings of the 26th International Conference on the Physics of Semiconductors, Edinburgh, UK,

J. R. Barker, M. Bonitz and D. Semkat, "Bohm trajectories in quantum transport," in Progress in Nonequilibrium Green's Functions II. Singapore: World Scientific Publishing, 2003, pp. 198–213.

J. R. Barker, "Green function simulation study of non self-averaging scattering processes atomistic semiconductor devices," ser. International Workshop on Computational Electronics - IWCE 9,

J. R. Barker, "Non-equilibrium quantum transport infinite devices structures in the presence of non-self-averaged atomistic impurity scattering," ser. 13th International Conference on Nonequilibrium Carrier Dynamics - HCIS 13,

J. R. Barker, "Quantum fluctuations in atomistic semiconductor devices," ser. NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices,

J. R. Barker, "Atomistic effects in the quantum hydrodynamics of carriers in decanano semiconductor devices using non-self-averaged Green functions," ser. International Conference NANOMES 3,

M. Boriçi, J. R. Watling, R. C. W. Wilkins, L. Yang and J. R. Barker, "A non pertubative model of surface roughness scattering for Monte Carlo simulation of relaxed silicon n-MOSFETs," Journal of Computational Electronics, Vol. 2, pp. 163–167, 2003.

M. Boriçi, J. R. Watling, R. C. W. Wilkins and J. R. Barker, "Interface roughness scattering and its impact on electrons transport in relaxed and strained Si- n-MOSFETs," ser. 13th International Conference on Nonequilibrium Carrier Dynamics - HCIS 13,

A. R. Brown, F. Adamu-Lema and A. Asenov, "Intrinsic parameter fluctuations in nanometer scale thin body SOI devices introduced by interface roughness," in Proc. Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices (NPMS-6/SIMD-4), Maui, HI, USA, Nov. 30-Dec. 5, 2003, pp. 32–33.

A. R. Brown, F. Adamu-Lema and A. Asenov, "Intrinsic parameter fluctuations in UTB MOSFETs induced by body thickness variations," in Proc. Silicon Nanoelectronics Workshop, Kyoto, Japan, June 8-9, 2003,

A. R. Brown, F. Adamu-Lema and A. Asenov, "Intrinsic Parameter Fluctuations in Nanometre Scale Thin-body SOI Devices Introduced by Interface Roughness," Superlattices and Microstructures, Vol. 34, No. 3-6, pp. 283–291, 2003.

D. M. Bruls, P. M. Koenraad, J. H. Davies, S. P. A. Gill, F. Long, M. Hopkinson, M. Skolnick, J. H. Wolter and J. T. Devreese, "Direct composition profiling in III-V nanostructures by cross-sectional STM," ser. Proceedings of the 26th International Conference on the Physics of Semiconductors, Edinburgh, UK,

B. Cheng, S. Roy, G. Roy and A. Asenov, "Integrating 'atomistic' intrinsic parameter fluctuations into compact model circuit analysis," ser. ESSDERC 2003 - European Solid-State Device Research Conference, pp. 437–440.

L. C. Chirwa, P. A. Hammond, S. Roy and D. R. S. Cumming, "Electromagnetic radiation from ingested sources in the human intestine between 150 MHz and 1.2 GHz," IEEE Transactions on Biomedical Engineering, Vol. 50, No. 4, pp. 484–492, 2003.

L. C. Chirwa, P. A. Hammond, S. Roy and D. R. S. Cumming, "Radiation from ingested wireless devices in bio-medical telemetry bands," Electronics Letters, Vol. 39, No. 2, pp. 178–179, 2003.

L. C. Chirwa, S. Roy and D. R. S. Cumming, "Determination of electromagnetic radiation from ingested sources in the human intestine using FDTD between 150MHz and 1.2GHz," Electronics Letters, Vol. 50, No. 4, pp. 484–492, Apr. 2003.

J. H. Davies, "Elastic field in a semi-infinite solid due to thermal expansion or a coherently misfitting inclusion," Journal of Applied Mechanics-Transactions of the Asme, Vol. 70, No. 5, pp. 655–660, 2003.

A. J. Garcia-Loureiro, K. Kalna, A. Asenov, R. C. W. Wilkins and J. M. Lopez-Gonzalez, "Statistic 3D simulation of intrinsic fluctuations in nanoscaled PHEMTs," ser. 14th Workshop on Modeling and Simulation of Electron Devices, pp. 45–48.

A. J. Garcia-Loureiro, K. Kalna and A. Asenov, "3D Parallel simulation of fluctuations effects on pHEMTs," Journal of Computational Electronics, Vol. 2, pp. 369–373, 2003.

K. Kalna and A. Asenov, "Gate tunnelling and impact ionisation in sub 100nm PHEMTs," The Institute of Electronics, Information and Communication Engineers IEICE Transactions on Electronics, Vol. E86-C, pp. 330–335, 2003.

K. Kalna and A. Asenov, "Nonequilibrium and ballistic transport, and backscattering in decanano MOSFET's: A Monte Carlo simulation study," Mathematics and Computers in Simulation, Vol. 62, pp. 357–366, 2003.

K. Kalna, M. Boriçi, L. Yang and A. Asenov, "Monte Carlo simulation of III-V MOSFETs," ser. 13th International Conference on Nonequilibrium Carrier Dynamics - HCIS 13,

K. Kalna, L. Yang and A. Asenov, "Simulation study of high performance III-V MOSFETs for digital applications," ser. International Workshop on Computational Electronics - IWCE 9,

K. Kalna, L. Yang and A. Asenov, "Simulation study of high performance III-V MOSFETs for digital applications," Journal of Computational Electronics, Vol. 2, pp. 341–345, 2003.

S. Kaya, W. Ma and A. Asenov, "Design of DG-MOSFETs for high linearity performance," ser. 2003 IEEE International SOI Conference, Athens, Ohio, USA, pp. 68–69.

P. M. Koenraad, D. M. Bruls, J. H. Davies, S. P. A. Gill, F. Long, M. Hopkinson, M. Skolnick and J. H. Wolter, "Composition profiling at the atomic scale in III-V nanostructures by cross-sectional STM," Physica E-Low-Dimensional Systems & Nanostructures, Vol. 17, No. 1-4, pp. 526–532, 2003.

A. Lee, A. R. Brown, A. Asenov and S. Roy, "RTS noise simulations of decanano MOSFETs subject to atomic scale structure variations," ser. NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices, Maui, Hawaii,

A. Lee, A. R. Brown, A. Asenov and S. Roy, "RTS noise simulations of decanano MOSFET's subject to atomic scale structure variations." Superlattices and Microstructures, Vol. 34, No. 3-6, 2003.

A. R. Long and J. H. Davies, "Proceedings of the 26th International Conference on the Physics of Semiconductors," ser. Proceedings of the 26th International Conference on the Physics of Semiconductors, Bristol, UK and Philadelphia, USA,

W. Ma, S. Kaya and A. Asenov, "Study of RF linearity in sub-50nm MOSFETs using simulations," Journal of Computational Electronics, Vol. 2, pp. 347–352, 2003.

W. Ma, S. Kaya and A. Asenov, "Scaling of RF Linearity in DG and SOI MOSFETs," in Proc. EDMO 2003,

W. Ma, S. Kaya and A. Asenov, "Study of RF linearity in sub-50 nm MOSFETs using simulations," in Proc. Extended abstracts of the International Workshop on Computational Electronics IWCE-9,

C. Millar, A. Asenov and S. Roy, "Brownian ionic channel simulation," in Proc. International Workshop on Computational Electronics, Champaign Urbana, USA,

C. Millar, A. Asenov and S. Roy, "Brownian dynamics based particle mesh simulation of ionic solutions and channels," ser. Proceedings Modeling and Simulation of Microsystems 2003 - MSM 03,

C. Millar, A. Asenov and S. Roy, "Brownian ionic channel simulation," Journal of Computational Electronics, Vol. 2, pp. 257–262, 2003.

C. Millar and A. Asenov, "Modelling Ion Channels: The Engineers Approach," in Proc. IOP Electrostatics 2003, Abstracts, Mar.

C. Millar, A. Asenov and S. Roy, "Brownian Dynamics Based Simulation Of Ionic Solutions And Channels," in Proc. Nanotech 2003: Technical Proceedings, Cambridge,

D. A. J. Moran, K. Kalna, E. Boyd, F. McEwan, H. McLelland, L. L. Zhuang, C. R. Stanley, A. Asenov and I. G. Thayne, "Self-aligned 0.12 μm T-gate In.53Ga.47As/In.52Ga.48As technology using a non-annealed ohmic contact strategy," in Proc. Proceedings of ESSDERC 2003, pp. 315–318.

G. Roy, A. R. Brown, A. Asenov and S. Roy, "Bipolar quantum corrections in resolving individual dopants in atomistic, intrinsic parameter fluctuations into compact model circuit analysis," ser. NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices, Maui, Hawaii, pp. 34–35.

G. Roy, A. R. Brown, A. Asenov and S. Roy, "Quantum aspects of resolving discrete charges in atomistic device simulation," Journal of Computational Electronics, Vol. 2, pp. 323–327, 2003.

G. Roy, A. R. Brown, A. Asenov and S. Roy, "Bipolar quantum corrections in resolving individual dopants in 'atomistic' device simulations," Superlattices and Microstructures, Vol. 34, No. 3-6, pp. 327–334, 2003.

G. Roy, A. R. Brown, A. Asenov and S. Roy, "Quantum aspects of resolving discrete charges in “atomistic” device simulation," in Proc. Extended abstracts of the International Workshop on Computational Electronics IWCE-9,

S. Roy, A. Lee, A. R. Brown and A. Asenov, "Application of quasi-3D and 3D MOSFET simulations in the atomistic regime," ser. International Workshop on Computational Electronics - IWCE 9,

S. Roy, B. Cheng, G. Roy and A. Asenov, "A methodology for introducing atomistic parameter fluctuations into compact device models for circuit simulation," Journal of Computational Electronics, Vol. 2, pp. 427–431, 2003.

S. Roy, A. Lee, A. R. Brown and A. Asenov, "Application of quasi-3D and 3D MOSFET simulations in the atomistic regime," Journal of Computational Electronics, Vol. 2, pp. 423–426, 2003.

S. Roy, B. Cheng, G. Roy and A. Asenov, "A methodology for introducing “atomistic” parameter fluctuations into compact device models for circuit simulation," in Proc. Extended abstracts of the International Workshop on Computational Electronics IWCE-9,

J. R. Watling, L. Yang, M. Boriçi and J. R. Barker, "Degeneracy and high doping effects in deep sub-micron relaxed and strained SiGe MOSFETs," ser. International Workshop on Computational Electronics - IWCE 9,

J. R. Watling, L. Yang, M. Boriçi, J. R. Barker and A. Asenov, "Degeneracy and high doping effects in deep sub-micron relaxed and strained SiGe MOSFETs," Journal of Computational Electronics, Vol. 2, pp. 475–479, 2003.

J. R. Watling, A. Asenov, A. R. Brown, A. Svizhenko and M. P. Anantram, "Direct Source-to-Drain Tunnelling and its Impact on the Intrinsic Parameter Fluctuations in nanometre scale Double Gate MOSFETs," in Proc. Proc. Modeling and Simulation of Microsystems (MSM03), p. 202.

L. Yang, J. R. Watling, M. Boriçi, R. C. W. Wilkins, A. Asenov, J. R. Barker and S. Roy, "Simulations of scaled sub-100nm strained Si/SiGe p-channel MOSFETs," Journal of Computational Electronics, Vol. 2, pp. 363–368, 2003.

L. Yang, A. Asenov, J. R. Watling, M. Boriçi, J. R. Barker, S. Roy, K. Elgaid, I. G. Thayne and T. Hackbarth, "Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications," ser. 14th Workshop on Modeling and Simulation of Electron Devices, pp. 41–44.

L. Yang, A. Asenov, J. R. Watling, M. Boriçi, J. R. Barker, S. Roy, K. Elgaid, I. G. Thayne and T. Hackbarth, "Optimizations of sub-100nm Si/SiGe MODFETs for high linearity RF applications," in Proc. Proceedings of the 2003 IEEE Conference on Electron Device and Solid-State Circuits (EDSSC03), Dec. pp. 331–334.

L. Yang, J. R. Watling, M. Boriçi, R. C. W. Wilkins, A. Asenov, J. R. Barker and S. Roy, "Simulations of scaled sub-100nm strained Si/SiGe p-channel MOSFETs," in Proc. 9th IEEE International Workshop of Computational Electronics (IWCE),

L. Yang, A. Asenov, J. R. Watling, M. Boriçi, J. R. Barker, S. Roy, K. Elgaid, I. G. Thayne and T. Hackbarth, "A simulation study of high linearity Si/SiGe HFETs," in Proc. Proceedings of the 14th Workshop on Modelling and Simulation of Electron Device (MSED03), Oct. pp. 41–44.

2002

A. Asenov, S. Kaya and J. H. Davies, "Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations," IEEE Transactions on Electron Devices, Vol. 49, pp. 112–119, 2002.

A. Asenov, S. Kaya and A. R. Brown, "Implications of Imperfect Interfaces and edges in Ultra-small MOSFET characteristics," Physica Status Solidi B, Vol. 233, No. 1, pp. 101–112, 2002.

A. Asenov, M. Jaraiz, S. Roy, G. Roy, F. Adamu-Lema, A. R. Brown, V. Moroz and R. Gafiteanu, "Integrated atomistic process and device simulation of decananometre MOSFETs," in Proc. Simulation of Semiconductor Processes and Devices, Kobe, Japan, Sept. 4-6, 2002, pp. 87–90.

A. Asenov, A. R. Brown and J. R. Watling, "Quantum corrections in the simulation of decanano MOSFETs," in Proc. 3rd European Workshop on Ultimate Integration of Silicon (ULIS), Munich, Germany, 2002,

A. Asenov, A. R. Brown and J. R. Watling, "The Use of Quantum Potentials for Confinement in Semiconductor Devices," in Proc. 5th International Conference on Modeling and Simulation of Microsystems (MSM), Puerto Rico, Apr. 20-25, 2002,

A. Asenov, "Simulation of intrinsic fluctuations in decanano MOSFETs: present status and future challenges," in Proc. Proc. Solid State Devices and Materials (SSDM 2002),

J. R. Barker, "Normal vortex states and their application in mesoscopic semiconductor devices," Microelectronic Engineering, Vol. 63, No. 1-3, pp. 223–231, 2002.

J. R. Barker and J. R. Watling, "Traversal times and charge confinement for spatially dependent effective masses within semiconductor heterostructures: the quantum potential approach," Microelectronic Engineering, Vol. 63, No. 1-3, pp. 97–103, 2002.

J. R. Barker, "On the completeness of quantum hydrodynamics," Journal of Computational Electronics, Vol. 1, pp. 17–21, 2002.

J. R. Barker, "On the current and density representation of Many-body quantum transport theory," Journal of Computational Electronics, Vol. 1, No. 23, p. 26, 2002.

J. R. Barker, "Quantum potential corrections for spatially dependent effective masses with application to charge confinement at heterostructure interfaces," Journal of Computational Electronics, Vol. 1, No. 279, p. 282, 2002.

A. R. Brown, J. R. Watling and A. Asenov, "A 3-D atomistic study of archetypal double gate MOSFET structures," Journal of Computational Electronics, Vol. 1, pp. 165–169, 2002.

A. R. Brown, A. Asenov and J. R. Watling, "Intrinsic Fluctuations in Sub 10 nm Double-Gate MOSFETs Introduced by Discreteness of Charge and Matter," IEEE Trans. Nanotechnology, Vol. 1, pp. 195–200, 2002.

A. R. Brown, A. Asenov and J. R. Watling, "Intrinsic Fluctuations in Sub 10 nm Double-Gate MOSFETs Introduced by Discreteness of Charge and Matter," in Proc. Silicon Nanoelectronics Workshop, Honolulu, HI, USA, June 9-10, 2002,

D. M. Bruls, P. M. Koenraad, J. H. Davies, S. P. A. Gill, F. Long, M. Hopkinson, M. Skolnick, J. H. Wolter and J. T. Devreese, "Direct composition profiling in III-V nanostructures by cross-section STM," ser. 26th International Conference on Physics of Semiconductors, Edinburgh, UK,

L. C. Chirwa, P. A. Hammond, S. Roy and D. R. S. Cumming, "Electromagnetic radiation from ingested sources in the human intestine," ser. 2nd.Annual.International IEEE EMBS.Special.Topic.Conference on Microtechnologies.in Medicine and Biology. Madison, USA, pp. 309–313.

J. H. Davies, D. M. Bruls, J. W. A. M. Vugs and P. M. Koenraad, "Relaxation of a strained quantum well at a cleaved surface," Journal of Applied Physics, Vol. 91, pp. 4171–4176, 2002.

K. Kalna and A. Asenov, "Nonequilibrium transport in scaled high electron mobility transistors," Semiconductor Science and Technology, Vol. 17, pp. 579–584, 2002.

K. Kalna, S. Roy, A. Asenov, K. Elgaid and I. G. Thayne, "Scaling of pseudomorphic high electron mobility transistors to decanano dimensions," Solid State Electronics, Vol. 46, No. 5, pp. 631–638, 2002.

K. Kalna and A. Asenov, "Quantum corrections in the Monte Carlo simulations of scaled PHEMTs with multiple delta doping," Journal of Computational Electronics, Vol. 1, pp. 257–261, 2002.

K. Kalna and A. Asenov, "Nonequilibrium and ballistic transport, and backscattering in decanano HEMTs: A Monte Carlo simulation study," Mathematics and Computers in Simulation, 2002.

K. Kalna and A. Asenov, "Tunneling and impact ionization in scaled double doped PHEMTs," ser. Proceedings of 32nd European Solid State Device Research Conference, pp. 303–306.

K. Kalna, L. Yang and A. Asenov, "High performance III-V MOSFETs: a dream close to reality?" ser. 10th International Symposium on Electron Devices for Microwave and Optoelectronic Devices, Manchester, UK, pp. 243–248.

K. Kalna and A. Asenov, "Breakdown mechanisms limiting the operation of double doped PHEMTs scaled into sub-100nm dimensions," ser. Proceedings 4th International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM 2002 ), pp. 141–144.

K. Kalna and A. Asenov, "Ballistic transport in decanano MOSFETs: Present status and future challenges," ser. Proceesings of Workshop on Physical Simulation of Semiconductor Devices -13, pp. 1–5.

K. Kalna and A. Asenov, "Monte Carlo modelling of first order quantum effects in deep submicron HEMTs," ser. Neumann Institute for Computing winter school on Quantum simulations of complex many-body systems,

K. Kalna and A. Asenov, "Gate tunnelling and impact ionisation in sub 100 nm PHEMTs," in Proc. Proceedings of SISPAD2002, pp. 139–142.

K. Kalna and A. Asenov, "Balllistic transport in decanano PHEMTs," in Proc. Proceeding of 13th Workshop on Physical Simulation of Semiconductor Devices, pp. 1–5.

S. Kaya, A. Asenov and S. Roy, "On the breakdown of universal mobility curves: a Brownian 3D simulation study," J. Computational Electronics, Vol. 1, pp. 375–379, 2002.

S. Kaya, A. Asenov and S. Roy, "Breakdown of universal mobility curves in sub-100nm MOSFETs," IEEE Trans. Nanotechnology, Vol. 1, pp. 260–264, 2002.

S. Kaya, A. Asenov and S. Roy, "Breakdown of universal mobility curves in sub-100 nm MOSFETs," in Proc. Proceedings of the Silicon Nanoelectronics Workshop,

C. Millar, A. Asenov and J. R. Watling, "Excessive Over-Relaxation Method For Multigrid Poisson Solvers," J. Computational Electronics, Vol. 1, No. 3, pp. 341–345, 2002.

C. Millar, A. Asenov and S. Roy, "A Generic Particle-Mesh Framework For The Simulation Of Ionic Channels," J. Computational Electronics, Vol. 1, No. 3, pp. 405–409, 2002.

C. Millar and A. Asenov, "Multiscale Particle-Mesh Ion Channel Simulations," in Proc. Workshop on Ion Channels,

M. J. Prest, M. J. Palmer, T. J. Grasby, P. J. Phillips, O. A. Mironov, E. H. C. Parker, T. E. Whall, A. M. Waite, A. G. R. Evans, J. R. Watling, A. Asenov and J. R. Barker, "Transconductance, carrier mobility and 1/f noise in Si/Si/sub 0.64/Ge/sub 0.36//Si pMOSFETs," Materials Science & Engineering B Solid.State.Materials for.Advanced.Technology, Vol. B89, pp. 1–3, 2002.

S. Roy, J. J. Trinder and J. V. Magill, "Portable learning and assessment - towards ubiquitous education," in Proc. European Workshop on Mobile and Contextual Learning - mLearn 2002, June.

G. M. Slavcheva, J. H. Davies, A. R. Brown and A. Asenov, "Potential fluctuations in metal-oxide-semiconductor field-effect transistors generated by random impurities in the depletion layer," Journal of Applied Physics, Vol. 91, pp. 4326–4334, 2002.

G. M. Slavcheva, J. H. Davies, A. R. Brown and A. Asenov, "Statistics of the random potential fluctuations in the MOSFET channel," in Proc. 26th International Conference on Physics of Semiconductors, ser. 26th International Conference on Physics of Semiconductors, Edinburgh, UK, June 29-Aug. 3, 2002,

G. M. Slavcheva, J. H. Davies, A. R. Brown and A. Asenov, "Potential fluctuations in MOSFET's generated by randomly distributed impurities in the depletion layer." Journal of Applied Physics, Vol. 91, pp. 4326–4334, 2002.

H. Unlu and A. Asenov, "Band offsets in III-nitride heterostructures," Journal of Physics D :Applied Physics, Vol. 35, pp. 591–594, 2002.

J. R. Watling, A. R. Brown and A. Asenov, "Can the density gradient approach describe the source-drain tunnelling in decanano double-gate MOSFETs?" J. Computational Electronics, Vol. 1, pp. 289–293, 2002.

J. R. Watling, A. R. Brown, A. Asenov, A. Svizhenko and M. P. Anantram, "Simulation of Direct Source-to-Drain Tunnelling Using the Density Gradient Formalism: Non-equilibrium Green's Function Calibration," in Proc. Proc. SISPAD 2002, IEEE Cat. no. 02TH8621, pp. 267–270.

L. Yang, J. R. Watling, R. C. W. Wilkins, A. Asenov, J. R. Barker, S. Roy and T. Hackbarth, "Scaling study of Si/SiGe MOSFETs for RF applications," ser. 10th International Symposium on Electron Devices for Microwave and Optoelectronic Devices ( EDMO 2002), Manchester, UK, pp. 101–106.

2001

A. Asenov, "Quantum corrections to the `atomistic' MOSFET simulation,".

A. Asenov, G. M. Slavcheva, A. R. Brown, J. H. Davies and S. Saini, "Quantum enhancement of the random dopant induced threshold voltage fluctuations in sub 100nm MOSFETs: A 3-D density-gradient simulation study," IEEE Transactions on Electron Devices, Vol. 48, No. 4, pp. 722–729, 2001.

A. Asenov, "3D statistical simulation of intrinsic fluctuations in decanano MOSFETS induced by discrete dopants, oxide thickness fluctuations and LER," ser. Simulation of Semiconductor Processes and Devices, Vienna, pp. 162–169.

A. Asenov, "Quantum correction to the 'atomistic' MOSFET simulation." VLSI Design, Vol. 13, pp. 15–21, 2001.

J. R. Barker, J. R. Watling and R. C. W. Wilkins, "A fast algorithm for the study of wave-packet scattering at disordered interfaces," VLSI Design, Vol. 13, p. 199, 2001.

J. R. Barker, "A simple model for hydrodynamic simulation of electron transport in quantum confined structures in the presence of vortices," VLSI Design, Vol. 13, p. 237, 2001.

J. R. Barker and J. R. Watling, "Simulation of enhanced interface trapping due to carrier dynamics in warped valence bands in SiGe devices," VLSI Design, Vol. 13, pp. 453–458, 2001.

S. G. Blanco, A. Glidle, J. H. Davies, J. S. Aitchison and J. M. Cooper, "Electron-beam-induced densification of Ge-doped flame hydrolysis silica for waveguide fabrication," Applied.Physics.Letters. Vol. vol.79, No. 18, pp. 2889–2911, 2001.

A. R. Brown, J. R. Watling and A. Asenov, "A 3-D atomistic study of archetypal double gate MOSFET structures," in Proc. 8th International Workshop on Computational Electronics (IWCE), Urbana-Champaign, IL, USA, Oct. 15-17, 2001,

A. R. Brown, S. Kaya, A. Asenov, J. H. Davies and T. Linton, "Statistical Simulation of Line Edge Roughness in Decanano MOSFETs," in Proc. Silicon Nanoelectronics Workshop, Kyoto, Japan, June 10-11, 2001,

S. Chowdhury, E. Skuras, C. J. Emeleus, A. R. Long, J. H. Davies, G. Pennelli and C. R. Stanley, "Switching of guiding center-drift direction in asymmetric two-dimensional lateral surface superlattices," Physical.Review.B.(Condensed.Matter.and.Materials.Physics.), Vol. vol.63, No. 153306 (1-4), 2001.

S. Garcia-Blanco, A. Glidle, J. H. Davies, J. S. Aitchison and J. M. Cooper, "Electron induced densification of Ge-doped flame hydrolysis SiO2 for waveguide fabrication," Applied Physics Letters, Vol. 79, No. 18, pp. 2889–2890, 2001.

K. Kalna, A. Asenov, K. Elgaid and I. G. Thayne, "Scaling of pHEMTs to decanano dimensions," VLSI Design, Vol. 13, pp. 435–439, 2001.

K. Kalna and A. Asenov, "Multiple delta doping in aggressively scaled PHEMTs," ser. Editions Frontiers, Nuremberg, Austria, pp. 319–322.

K. Kalna and A. Asenov, "Quantum corrections in Monte Carlo simulations of scaled pHEMTs with multiple delta doping," ser. IWCE-8,

S. Kaya, A. Asenov and S. Roy, "Breakdown of Universal Mobility Curves in sub-100nm MOSFETs," ser. IWCE-8,

S. Kaya, A. R. Brown, A. Asenov, D. Magot and T. Linton, "Analysis of Statistical Fluctuations Due to Line Edge Roughness in Sub-0.1μm MOSFETs," in Proc. Simulation of Semiconductor Processes and Devices (SISPAD 2001), pp. 78–81.

A. R. Knox, A. Asenov and A. C. Lowe, "An electron emission model for use with 3D electromagnetic finite element simulation," Solid.State.Electronics. Vol. vol.45, no.6; June 2001; p.841-51, p. 511, 2001.

M. McAlpine, J. V. Magill, S. Roy, J. J. Trinder and D. Whittington, "A dynamic resource for on-line formative assessment in engineering," in Proc. Proceedings of ALT-C, Sept.

C. Millar, A. Asenov, S. Roy and J. M. Cooper, "Generic Particle-Mesh Framework for the Simulation of Ionic Channels," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE01,

M. J. Palmer, G. Braithwaite, T. J. Grasby, P. J. Phillips, M. J. Prest, E. H. C. Parker, T. E. Whall, C. P. Parry, A. M. Waite, A. G. R. Evans, S. Roy, J. R. Watling, S. Kaya and A. Asenov, "Effective mobilities in pseudomorphic Si/SiGe/Si p-channel metal-oxide-semiconductor field-effect transistors with thin silicon capping layers," Applied.Physics.Letters. Vol. vol.78, No. 10, pp. 1424–1426, 2001.

M. J. Palmer, G. Braithwaite, M. J. Prest, E. H. C. Parker, T. E. Whall, S. Zhao, S. Kaya, J. R. Watling, A. Asenov, J. R. Barker, A. M. Waite and A. G. R. Evans, "Enhanced velocity overshoot and transconductance in Si/SiGe/Si pMOSFETs- Prediction for deep submicron devices," ser. Proceeding ESSDERC 2001 - Edition Frontiers, pp. 199–202.

E. Skuras, A. R. Long, S. Chowdhury, E. Boyd, M. Rahman, K. J. Kirk and J. H. Davies, "Anisotropy effects in two-dimensional magnetic superlattices," Journal.of.the.Korean.Physical.Society. Vol. vol.39, no.3; Sept. 2001; p.544-8, p. 88, 2001.

E. Skuras, A. R. Long, S. Chowdhury, M. Rahman, K. J. Kirk and J. H. Davies, "Two-dimensional arrays of magnetic nanostructures characterized using an underlying two-dimensional electron gas," Journal.of.Applied.Physics. Vol. vol.90, no.5; 1 Sept. 2001; p.2623-5, p. 55, 2001.

U. N. Straube, A. G. R. Evans, G. Braithwaite, S. Kaya, J. R. Watling and A. Asenov, "On the mobility extraction for HMOSFETs," Solid.State.Electronics. Vol. vol.45, No. 3, pp. 527–529, 2001.

J. R. Watling, A. R. Brown, A. Asenov and D. K. Ferry, "Quantum corrections in 3-D drift diffusion simulation of decanano MOSFETs using an effective potential," ser. Simulation of semiconductor processes and devices, Vienna, pp. 81–85.

J. R. Watling, J. R. Barker and A. Asenov, "Soft sphere model for electron correlation and scattering in the atomistic modelling of semiconductor devices," VLSI Design, Vol. 13, pp. 441–446, 2001.

J. R. Watling, Y. P. Zhao, A. Asenov and J. R. Barker, "Non-equilibrium hole transport in deep sub-micron Well-Tempered Si p-MOSFETs," VLSI Design, Vol. 13, pp. 169–174, 2001.

2000

A. Asenov, S. Kaya, J. H. Davies and S. Saini, "Oxide thickness variation induced threshold voltage fluctuations in decanano MOSFETs: a 3D density gradient simulation study," Superlattices.and.Microstructures. Vol. vol.28, no.5-6; 2000; p.507-15, p. 6, 2000.

A. Asenov and S. Saini, "Polysilicon Gate Enhancement of the Random Dopant Induced Threshold Voltage Fluctuations in Sub 100 nm MOSFETs with Tunnelling Oxide," IEEE Trans. Electron Dev. Vol. 47, No. 4, pp. 805–812, 2000.

A. Asenov, R. Balasubramaniam, A. R. Brown and J. H. Davies, "Effect of Single electron trapping in decanano MOSFETs: A 3D “atomistic” simulation study," Superlattices and Microstructures, Vol. 27, No. 5/6, pp. 411–416, 2000.

A. Asenov, G. M. Slavcheva, A. R. Brown, R. Balasubramaniam and J. H. Davies, "Statistical, 3D “atomistic” simulation of decanano MOSFETs," Superlattices and Microstructures, Vol. 27, No. 2/3, pp. 215–227, 2000.

A. Asenov, "Atomistic simulation of decanano devices," in Proc. Proceedings of ChiPPS 2000 Wandlitz, Germany,

A. Asenov, R. Balasubramaniam, A. R. Brown, J. H. Davies and S. Saini, "Random Telegraph Signal Amplitudes in Sub 100nm (Decanano) MOSFETs: a 3D Atomistic Simulation Study," in Proc. IEDM Tech. Digest, 2000, pp. 279–282.

A. Asenov, "Quantum correction to the “atomistic” MOSFET simulation," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE00,

A. Asenov and S. Kaya, "Effect of oxide roughness on the threshold voltage fluctuations in decanano MOSFETs with ultrathin gate oxide," in Proc. Proceedings of SISPAD'00, pp. 135–138.

J. R. Barker and J. R. Watling, "Three-dimensional modelling of dissipative quantum transport in quantum dots and atomistic scale devices using non-Hermitian generalized potential," Superlattices and Microstructures, Vol. 27, pp. 347–351, 2000.

K. Kalna, C. Y. L. Cheung, I. Pierce and K. A. Shore, "Self-consistent analysis of carrier transport and carrier capture dynamics in quantum cascade intersubband semiconductor lasers," IEEE Trans. Microwave Theory Tech. Vol. 48, pp. 639–644, 2000.

K. Kalna, A. Asenov, K. Elgaid and I. G. Thayne, "Performance of aggressively scaled pseudomorphic HEMTs: A Monte Carlo study," in Proc. Proceedings of ASDAM 2000, pp. 55–58.

K. Kalna, A. Asenov, K. Elgaid and I. G. Thayne, "Effect of impact ionization in scaled pHEMTs," in Proc. Proceedings of EDMO2000, pp. 236–241.

K. Kalna, S. Roy, A. Asenov, K. Elgaid and I. G. Thayne, "RF analysis of aggressively scaled pHEMTs," in Proc. Proceedings of ESSDERC 2000, pp. 156–159.

S. Kaya, Y. P. Zhao, J. R. Watling, A. Asenov, J. R. Barker, G. Ansaripour, G. Braithwaite, T. E. Whall and E. H. C. Parker, "Indication of velocity overshoot in strained Si0.8Ge.2 p-channel MOSFETs," Semiconductor Science and Technology, Vol. 15, pp. 573–578, 2000.

S. Roy, S. Kaya, A. Asenov and J. R. Barker, "RF analysis methodology for Si and SiGe FETs based on transient Monte Carlo simulations," IEICE Transactions on Electronics, Vol. VI. E83-C, No. 8, pp. 1224–1227, 2000.

J. R. Watling, J. R. Barker and A. Asenov, "Soft sphere model for electron correlation and scattering in the atomistic modelling of semiconductor devices," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE00,

J. R. Watling, Y. P. Zhao, A. Asenov and J. R. Barker, "Non-equilibrium hole transport in deep sub-micron well-tempered Si p-MOSFETs," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE00,

Y. P. Zhao, J. R. Watling, S. Kaya, A. Asenov and J. R. Barker, "Drift Diffusion and hydrodynamic simulations of Si/SiGe p-MOSFETs," Materials Science and Engineering (B)-Solid State Materials for Advanced Technology, Vol. 72, pp. 180–183, 2000.

Y. P. Zhao, S. Kaya, J. R. Watling, A. Asenov, J. R. Barker, M. J. Palmer, G. Braithwaite, T. E. Whall and E. H. C. Parker, "Indication of Non-equilibrium Transport in SiGe p-MOSFETs," in Proc. Proc. ESSDERC, pp. 224–227.

1999

A. Asenov, A. R. Brown, J. H. Davies and S. Saini, "Hierarchical approach to “atomistic” 3D MOSFET simulation," IEEE Trans. Computer-Aided Design of Intergrated Circuits and Systems, Vol. 18, pp. 1558–1565, 1999.

A. Asenov and S. Saini, "Supression of random dopant induced threshold voltage fluctuations in sub-0.1μm MOSFETs with epitaxial and delta doped channels," IEEE Trans. Electron Dev. Vol. 46, No. 8, pp. 1718–1724, 1999.

A. Asenov, S. Roy and J. R. Watling, "SiGe for RF applications," in Proc. IEE Colloquium Advances in Semiconductor Devices, Jan.

A. Asenov, "Random dopant induced threshold voltage lowering and fluctuations in sub 50 nm MOSFETs: A 3D “atomistic” simulation study," Nanotechnology, Vol. 10, pp. 153–158, 1999.

A. Asenov, "Hierarchical Statistical 3D 'Atomistic' Simulation of Decanano MOSFETs: Drift-Diffusion, Hydrodynamic and Quantum Mechanical Approaches," in Proc. Proceedings of 3rd NASA Device Modeling Workshop, Aug.

A. Asenov and S. Saini, "Influence of the Polysilicon gate on the random dopant induced threshold voltage fluctuations in sub 100 nm MOSFETs with thin gate oxides," in Proc. Proc. ESSDERC'99, pp. 188–191.

A. Asenov, G. M. Slavcheva, A. R. Brown and J. H. Davies, "Quantum Mechanical Enhancement of the Random Dopant Induced Threshold Voltage Fluctuations and Lowering in Sub 0.1 micron MOSFETs," in Proc. IEDM Tech. Digest, 1999, pp. 535–538.

S. Babiker, A. Asenov, S. Roy and S. P. Beaumont, "Strain engineered pHEMTs on virtual substrates," Solid-State Electronics, Vol. 43, pp. 1281–1288, 1999.

J. R. Barker and A. Asenov, "On the design and control of quantum effects in mesoscopic devices," Microelectronic Engineering, Vol. 47, pp. 255–260, 1999.

J. R. Barker, "A semi-empirical technique for simulating mesoscopic quantum transport in warped band structures," Microelectronic Engineering, Vol. 47, pp. 369–371, 1999.

K. Kalna, C. Y. L. Cheung, I. Pierce and K. A. Shore, "Self-consistent analysis of carrier transport and capture dynamics in quantum cascade intersubband semiconductor lasers," in Proc. Proceedings of Advanced Semiconductor Lasers & Applications Optical Society of America (ASLA99),

K. Kalna, C. Y. L. Cheung and K. A. Shore, "Carrier transport effects on the dynamics of intersubband semiconductor lasers," in Proc. Proceedingsof IOP National Quantum Electronics conference (EQ14), Sept.

K. Kalna, C. Y. L. Cheung and K. A. Shore, "Carrier transport and capture dynamics in quantum cascade semiconductor lasers," in Proc. Proceedings of Semiconductor and Integrated Optoelectronics (SIOE99), Apr.

J. L. Pearson, M. C. Holland, C. R. Stanley, A. R. Long, E. Skuras, A. Asenov and J. H. Davies, "Optimization of layer structure for InGaAs channel pseudomorphic HEMTs," Journal of Crystal Growth, Vol. 201/202, pp. 757–760, 1999.

S. Roy, S. Kaya, A. Asenov and J. R. Barker, "RF analysis methodology for Si and SiGe FETs based on transient Monte Carlo simulations," in Proc. Proceedings of SISPAD'99,

S. J. Sweeney, A. R. Adams, M. Silver, E. P. O'Reilly, J. R. Watling, A. B. Walker and P. J. A. Thijs, "Dependence of threshold current on QW position and on pressure in 1.5μm InGaAs(P) lasers," Physica Status Solidi (b), Vol. 211, pp. 525–531, 1999.

G. Ternent, A. Asenov, I. G. Thayne, D. S. Macintyre, S. Thoms and C. D. W. Wilkinson, "SiGe p-channel MOSFET’s with a tungsten gate," IEE Electronics Letters, Vol. 35, pp. 430–431, 1999.

J. R. Watling, A. B. Walker, J. J. Harris and J. M. Roberts, "Monte Carlo simulation of electron transport in delta-doped lattice-matched and strained-balanced InGaAs/InAlAs quantum wells," Semiconductor Science and Technology, Vol. 14, pp. 12–22, 1999.

1998

C. R. Arokianathan, A. Asenov and J. H. Davies, "Mesh-based particle simulation of sub-0.1 micron FETs," Semiconductor Science and Technology, Vol. 13, No. 8A, p. A173–A176, 1998.

C. R. Arokianathan, J. H. Davies and A. Asenov, "Ab-initio Coulomb scattering in atomistic device simulation," VLSI Design, Vol. 8, No. 1-4, pp. 331–335, 1998.

C. R. Arokianathan, A. Asenov and J. H. Davies, "A new approach based on Brownian motion for the simulation of ultra-small semiconductor devices," VLSI Design, Vol. 6, No. 1-4, pp. 243–246, 1998.

A. Asenov, "Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 μm MOSFETs: A 3D “atomistic” simulation study," IEEE Trans. Electron Dev. Vol. 45, No. 12, pp. 2505–2513, 1998.

A. Asenov, A. R. Brown and S. Roy, "Parallel semiconductor device simulation: from power to atomistic devices," in Proc. 6th International Workshop on Computational Electronics (IWCE), Osaka, Japan, Oct. 19-21, 1998, pp. 58–61.

A. Asenov, "Efficient 3D “atomistic” simulation technique for studying of random dopant induced threshold voltage lowering and fluctuations in decanano MOSFETs," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE98, pp. 236–266.

A. Asenov, S. Babiker, S. P. Beaumont and J. R. Barker, "Monte Carlo calibrated drift-diffusion simulation of short channel HFETs," VLSI Design, Vol. 8, No. 1-4, pp. 319–323, 1998.

A. Asenov, A. R. Brown, S. Roy and J. R. Barker, "Topologically rectangular grids in the parallel simulation of semiconductor devices," VLSI Design, Vol. 6, No. 1-4, pp. 91–95, 1998.

A. Asenov, "Random dopant threshold voltage fluctuations in 50 nm epitaxial channel MOSFETs: A 3D 'atomistic' simulation study," in Proc. Proc. ESSDERC'98, pp. 300–303.

S. Babiker, A. Asenov, N. Cameron, S. P. Beaumont and J. R. Barker, "Complete Monte Carlo RF analysis of 'real' short channel compound FETs," IEEE Trans. Electron Dev. Vol. 45, No. 8, pp. 1644–1652, 1998.

S. Babiker, A. Asenov, S. Roy, J. R. Barker and S. P. Beaumont, "Strain engineered InxGa1-xAs channel pHEMTs on virtual substrates: A Simulation study," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE98, pp. 178–181.

S. Babiker, A. Asenov, N. Cameron, S. P. Beaumont and J. R. Barker, "Complete RF analysis of compound FETs based on transient Monte Carlo simulation," VLSI Design, Vol. 8, No. 1-4, pp. 313–317, 1998.

S. Babiker, A. Asenov, J. R. Barker and S. P. Beaumont, "Quadrilateral finite element Monte Carlo simulation of complex shape compound FETs," VLSI Design, Vol. 6, No. 1-4, pp. 127–130, 1998.

Z. Borsosfoldi, D. R. Webster, I. G. Thayne, A. Asenov, D. G. Haigh and S. P. Beaumont, "Ultralinear pseudomorphic HEMTs for wireless comunications: A simulation study," in Proc. Institute of Physics Conference Series, pp. 475–478.

A. R. Brown, A. Asenov and J. R. Barker, "3D parallel finite element simulation of in-cell breakdown in lateral-channel IGBTs," VLSI Design, Vol. 8, No. 1-4, pp. 99–103, 1998.

A. Durndell, F. Uzunova, D. Asenova, A. Asenov and K. Thomson, "Gender neutral engineering: an impossible dream? - the case of Eastern Europe," Int. J. Sci. Education, Vol. 20, No. 7, pp. 783–793, 1998.

S. Roy, S. Kaya, S. Babiker, A. Asenov and J. R. Barker, "Monte Carlo investigation of optimal device architectures for SiGe FETs," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE98, pp. 210–213.

S. Roy, A. Asenov, S. Babiker, J. R. Barker and S. P. Beaumont, "RF performance of Si/SiGe MODFETs: a simulation study," VLSI Design, Vol. 8, No. 1-4, pp. 325–330, 1998.

J. R. Watling, A. B. Walker, J. J. Harris and J. M. Roberts, "Monte Carlo simulation of electron transport in highly delta-doped GaAs/AlGaAs quantum wells," Semiconductor Science and Technology, Vol. 13, pp. 43–53, 1998.

J. R. Watling, A. Asenov and J. R. Barker, "Efficient hole transport model in warped bands for use in the simulation of Si/SIGe MOSFETs," in Proc. Proceedings of IWCE 98, IEEE Cat. NO. 98EX116, pp. 96–99.

1997

A. Asenov, A. R. Brown, S. Roy, C. R. Arokianathan, J. H. Davies and J. R. Barker, "Parallel 3D Simulation of Semiconductor Devices," in Proc. 2nd NASA Device Modeling Workshop, Ames Research Center, Moffet Field, CA, USA, 1997, pp. 85–99.

S. Babiker, A. Asenov, N. Cameron and S. P. Beaumont, "The Ultimate Pseudomorphic HEMT on GaAs Substrate," in Proc. Proc. M & RF Conference, London, pp. 198–203.

J. R. Barker, S. Roy, S. Babiker and A. Asenov, "Circuit and architecture issues for single-electron devices," in Proc. Proceedings of the International Conference on Quantum Devices and Circuits, pp. 233–241.

K. Kalna, "Phonon confinement and electron capture time in quantum well," Acta Physica Polonica A, Vol. 92, pp. 805–808, 1997.

P. J. Klar, J. R. Watling, D. Wolverson, J. J. Davies, D. E. Ashenford and B. Lunn, "Magnetic-field induced type I to type II transition in Zn1-xMnxTe/ZnTe multiple quantum well samples," Semiconductor Science and Technology, Vol. 12, pp. 1240–1251, 1997.

S. Roy, A. Asenov, S. Babiker, J. R. Barker and S. P. Beaumont, "Monte Carlo analysis of Si/SiGe MODFET performance potential," Physica Status Solidi (b), Vol. 204, p. 525, 1997.

S. Roy, A. Asenov, S. Babiker, J. R. Barker and S. P. Beaumont, "RF performance of strained Si MODFETs and MOSFETs on ‘virtual’ SiGe substrates: A Monte Carlo study," in Proc. Proceedings of ESSDERC97, pp. 192–195.

1996

C. R. Arokianathan, A. Asenov and J. H. Davies, "An approach based on Brownian motion for the simulation of ultrasmall semiconductor devices," Journal of Applied Physics, Vol. 80, No. 1-4, pp. 1–7, 1996.

A. Asenov, S. Babiker, N. Cameron, S. Murad, M. C. Holland and S. P. Beaumont, "Devices Based on Low Dimensional Semiconductors," Kluwer Academic Publishers, 1996,

A. Asenov, "Modeling and Simulation in Education and Training," EUROSIM - Simulation News Europe, No. 6, p. 9, Mar. 1996.

A. Asenov, J. R. Barker, A. R. Brown and G. L. Lee, "Scalable parallel 3D finite element nonlinear Poisson solver," Journal of Simulation Practice and Theory, Vol. 45, pp. 155–168, 1996.

A. Asenov, A. R. Brown, S. Roy and J. R. Barker, "Topically Rectangular Finite Element Grids in the Parallel Simulation of Semiconductor Devices," in Proc. Computational Mechanics in UK, 4th ACME Annual Conference, Jan. 4-5, 1996, pp. 49–52.

A. Asenov, "Monte Carlo Simulation of Geometry and Surface Effects on the Performance of 0.1micron pHEMTs," in Proc. Proceedings of Advanced Heterojunction Workshop Kona, Hawaii (1996),

A. Asenov, S. Babiker, N. Cameron, M. R. S. Taylor and S. P. Beaumont, "Impact of Gate Recess Offset on Pseudomorphic HEMT performance: a Simulation Study," in Proc. Proceedings of ESSDERC 96, p. 1016.

S. Babiker, A. Asenov, N. Cameron and S. P. Beaumont, "Simple approach to include external resistances in the Monte Carlo simulation of MESFETs and HEMTs," IEEE Trans. Electron Dev. Vol. 43, No. 11, pp. 2032–2034, 1996.

S. Babiker, N. Cameron, A. Asenov and S. P. Beaumont, "New evidence for velocity overshoot in a 200nm pseudomorphic HEMT," Microelectronics Journal, Vol. 27, pp. 785–793, 1996.

S. Babiker, A. Asenov, J. R. Barker and S. P. Beaumont, "Finite element Monte Carlo simulation of recess gate compound FETs," IEEE Trans. Electron Dev. Vol. 39, No. 5, pp. 629–635, 1996.

N. Cameron, S. Murad, H. McLelland, A. Asenov, M. R. S. Taylor, M. C. Holland and S. P. Beaumont, "Gate Recess Engineering of Pseudomorphic In0.3GaAs/GaAs HEMTs," Electronics Letters, Vol. 32, No. 8, pp. 770–772, 1996.

K. Kalna and M. Mosko, "Heterostructure Epitaxy and Devices," , ser. NATO ASI Series, Kluwer Academic Publishers, 1996, pp. 79–82.

K. Kalna, M. Mosko and F. M. Peeters, "Electron capture in GaAs quantum wells via electron-electron and optic phonon scattering," Applied Physics Letters, Vol. 68, pp. 117–119, 1996.

K. Kalna and M. Mosko, "Electron capture in quantum wells via scattering by electrons, holes, and optical phonons," Physical Review B, Vol. 54, pp. 17730–17737, 1996.

S. Roy, A. Asenov, A. R. Brown and J. R. Barker, "Partitioning of Topologically Rectangular Finite Element Grids," in Proc. Proc. Computational Mechanics in UK, 4th ACME Annual Conference, pp. 41–44.

S. Roy, A. Asenov and J. R. Barker, "Optimum Partitioning of Topologically Rectangular Grids," in Proc. Proc. Int. Conf. HPSN Challenges in Telecomp and Telecom: Parallel Simulation of Complex Systems and Large-Scale applications (EUROSIM’96), pp. 179–185.

1995

A. Asenov, N. Cameron, M. R. S. Taylor, M. C. Holland and S. P. Beaumont, "Properties and Applications of Low Dimensional Semiconductors," Kluwer Academic Publishers, 1995, pp. 101–103.

A. Asenov and C. R. Stanley, "CAESAR: A virtual IC factory in an undergraduate semiconductor device fabrication laboratory," in Proc. IEE Colloquium on Physical Modeling of Semiconductor Devices, p. 10/1–10/6.

A. Asenov, D. Reid and J. R. Barker, "Speed-up of scalable iterative linear solvers implemented on an array of transputers," Parallel Computing, Vol. 21, No. 4, pp. 669–682, 1995.

A. Asenov and C. R. Stanley, "A virtual IC factory in an undergraduate semiconductor device fabrication laboratory," in Proc. Proceedings of EUROSIM Congress 95, pp. 1311–1316.

A. Asenov, S. Babiker, N. Cameron, S. P. Beaumont and J. R. Barker, "Design of properly scaled 100nm pseudomorphic HEMT using H2F," in Proc. IEE Colloquium on Physical Modeling of Semiconductor Devices, Digest No 1995/064, p. 7/1–7/6.

S. Babiker, N. Cameron, A. Asenov and S. P. Beaumont, "New evidence for velocity overshoot in a 200 nm Pseudomorphic HEMT," in Proc. Proceedings of ESSDERC95, pp. 173–176.

S. Babiker, A. Asenov, J. R. Barker and S. P. Beaumont, "Finite element Monte Carlo simulation of recess gate FETs," in Proc. Simulation of Semiconductor Devices and Processes, pp. 226–229.

A. R. Brown, A. Asenov, S. Roy and J. R. Barker, "Development of a parallel 3D finite element power semiconductor device simulator," in Proc. IEE Colloquium on Physical Modeling of Semiconductor Devices, Digest No. 1995/064, 1995, p. 2/1–2/6.

A. R. Brown, A. Asenov, S. Roy and J. R. Barker, "Parallel 3D finite element power semiconductor device simulator based on topologically rectangular grid," in Proc. Simulation of Semiconductor Devices and Processes, 2005, pp. 336–339.

K. Kalna, M. Mosko and F. M. Peeters, "Electron-electron scattering induced capture in GaAs quantum wells," in Proc. Proceeding of 9-UFPS Symposium, pp. 435–439.

I. Nachev and A. Asenov, "Subband energy states in a single quantum barrier heterostructure computed by path integrals," PHANTOMS Newsletter, No. 10, pp. 9–11, 1995.

P. Speckbacher, J. Berger, A. Asenov, F. Koch and W. Weber, "The 'gated diode' configuration in MOSFETs, a sensitive tool for characterising hot carrier degradation," IEEE Trans. Electron Dev. Vol. 42, No. 7, pp. 1287–1297, 1995.

1994

A. Asenov, N. Cameron, M. R. S. Taylor, S. P. Beaumont and J. R. Barker, "Numerical simulation of the series resistances in deep - submicrometer recess gate MESFETs," in Proc. Proc. of the European Gallium Arsenide and Related III-V Compounds Application Symposium, Torino, pp. 373–376.

A. Asenov, J. R. Barker, A. R. Brown and G. L. Lee, "Scalable parallel 3D finite element nonlinear Poisson solver," in Proc. Massively Parallel Processing, Applications and Development, pp. 665–672.

A. Asenov, J. R. Barker and A. R. Brown, "Parallel 3D finite element simulation of nano-structured devices," in Proc. NASECODE X, pp. 52–53.

J. R. Barker, A. Asenov, A. R. Brown, J. Cluckie, S. Babiker and C. R. Arokianathan, "Parallel simulation of semiconductor devices," in Proc. Massively Parallel Processing, Applications and Development, pp. 683–690.

A. R. Brown, A. Asenov, J. R. Barker, P. Waind and D. E. Crees, "Calibration of the numerical simulations in the design of high temperature IGBTs," in Proc. 2nd International Seminar on Power Semiconductors (ISPS), Prague, Czech Republic, Aug. 31-Sept. 2, 1994, pp. 151–157.

N. Cameron, A. Asenov, S. M. Ferguson, M. R. S. Taylor, M. C. Holland and S. P. Beaumont, "Reduced short channel effects in selectively dry gate recessed p-doped buffered pseudomorphic HEMTs," in Proc. Proc. of the European Gallium Arsenide and Related III-V Compounds Application Symposium, Torino, pp. 111–114.

I. Nachev, A. Asenov and N. Velchev, "Theory of Configurational interaction in semiconductor space-charge layer," Preprint, Int. Centre for Theoretical Physics, Miramare-Trieste, 1994.

1993

A. Asenov, D. Reid, J. R. Barker, N. Cameron and S. P. Beaumont, "Parallel Simulation of Semiconductor Devices on MIMD Machines," in Proc. Proc. International Workshop on Computational Electronics, pp. 50–55.

A. Asenov, D. Reid, J. R. Barker, N. Cameron and S. P. Beaumont, "Finite element simulation of recess gate MESFETs and HEMTs. The Simulator H2F," in Proc. Simulation of Semiconductor Processes and Devices, pp. 265–268.

A. Asenov, D. Reid and J. R. Barker, "The implementation and speed-up of coloured SOR methods solving 3D problems on array transputers," in Proc. Transputer Applications and Systems, pp. 578–587.

S. Babiker, J. R. Barker and A. Asenov, "Queuing-theoretic simulation of single-electronic metal-semiconductor devices and systems," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE93, pp. 260–264.

A. R. Brown, A. Asenov, J. R. Barker, S. Jones and P. Waind, "Numerical simulation of IGBTs at elevated temperatures," in Proc. 2nd International Workshop on Computational Electronics (IWCE), Leeds, UK, Aug. 11-13, 1993, pp. 50–55.

A. R. Brown, D. Reid, A. Asenov and J. R. Barker, "The implementation and speed-up of coloured SOR methods for solving 3D Poisson equation on an array of transputers," in Proc. 2nd International Workshop on Computational Electronics (IWCE), Aug. 11-13, 1993, pp. 173–176.

S. Roy, J. R. Barker and A. Asenov, "System simulation tools for single-electronic devices," in Proc. Proceedings of the International Workshop on Computational Electronics IWCE93, pp. 275–279.

1992

A. Asenov and M. E. Raikh, "Coulomb Blockade of Hopping Transport Through a Disordered Barrier," in Proc. Proceedings of CMMP 92, p. 234.

A. Asenov and D. Reid, "Theoretical Study of the Effect of HEMT Gate Recess on MESFET and HEMT Characteristics," in Proc. Proceedings of CMMP 92, p. 128.

J. R. Barker, S. Roy and S. Babiker, "22: Trajectory representations, fluctuations and stability of single electron devices," in Science and Technology of Mesoscopic Structures. Springer-Verlag, 1992, pp. 213–231.

J. R. Barker, J. M. R. Weaver, S. Babiker and S. Roy, "On the theory, modelling and construction of single electronic systems," in Proc. Proceedings of the International Symposium on New Phenomena in Mesoscopic Structures, Dec.

P. Chistman, C. Wetzel, B. K. Meyer, A. Asenov and A. Endros, "Spin dependent recombination in Pt doped silicon p-n junction," Applied Physics Letters, Vol. 60, pp. 1857–1859, 1992.

K. Kalna, "Boltzmann kinetic equation with correction term for intracollisional field effect," Semiconductor Science and Technology, Vol. 7, pp. 1446–1452, 1992.

J. Marczewski, M. Zachau, A. Asenov and F. Koch, "A Diode Device Combining Lateral Field-Effect Transport and Vertical Tunneling in a Multi Quantum-Well Heterostructure," IEEE Electron. Dev. Lett. Vol. 13, pp. 338–340, 1992.

M. E. Raikh and A. Asenov, "Coulomb Blockade of Inelastic Transport Through a Disordered Barrier," Acta Physica Polonica A, Vol. 37, p. 765, 1992.

M. E. Raikh and A. Asenov, "Inelastic Transport through a disordered barrier. The effect of the Coulomb Blockade," Superlattices and Microstructures, Vol. 11, pp. 325–327, 1992.

E. Stefanov, F. Koch, A. Asenov, U. Meiners and H. Brugger, "The In-Plane Gate Transistor: Device Simulation, Design and Test of the IPG," in Proc. Extended Abstracts of the 1992 Int. Conf. on Solid State Devices and Materials, pp. 759–761.

2021

C. Dam Vedel, E. Brugnolotto, S. Smidstrup and V. Georgiev, "Impact of different types of planar defects on current transport in Indium Phosphide (InP)," International Conference on Ultimate Integration of Silicon, ULIS 2021: Sept. 1, 2021.

Y. Guan, H. Nunes, V. Vihar. Georgiev, A. Asenov and F. Liang, "Quantum simulation investigation of work-function variation in nanowire tunnel FETs." Nanotechnology, Vol. 32, No. 15, Jan. 2021.

P. Lapham, L. Vila-Nadal, L. Cronin and V. Georgiev, "Influence of the contact geometry and counterions on the current flow and charge transfer in polyoxometalate molecular junctions: a density functional theory study," Journal of Physical Chemistry C, Vol. 125, No. 6, p. 3599, Feb. 2021.

C. Medina-Bailon, C. Padilla, C. Sampedro, L. Donetti, V. P Georgiev, F. Gamiz and A. Asenov, "Self-consistent enhanced S/D tunneling implementation in a 2D MS-EMC nanodevice simulator," Micromachines, Vol. 12, No. 6, p. 601, May 2021.

C. Medina-Bailon, T. Dutta, A. Rezaei, D. Nagy, F. Adamu-Lema, V. P. Georgiev and A. Asenov, "Simulation and Modeling of Novel Electronic Device Architectures with NESS (Nano-Electronic Simulation Software): A Modular Nano TCAD Simulation Framework," Micromachines, Vol. 12, No. 6, p. 680, 2021.

C. Medina-Balion, N. Kumar, R. P. S Dhar, I. Todorova, D. Lenoble, V. Georgiev and C. P. García, "Comprehensive analytical modelling of an absolute pH sensor," Sensors, Vol. 21, No. 15, p. 5190, Aug. 2021.

R. Pritam Singh Dhar, N. Kumar, C. Medina-Bailon, C. Pascual-Garcia and V. Georgiev, "TCAD Simulations of High-Aspect-Ratio Nano-biosensor for Label-Free Sensing Application," International Conference on Ultimate Integration of Silicon, ULIS, 2021: Sept. 2021.