Dr Gareth Roy

telephone: 01413306737
email: Gareth.Roy@glasgow.ac.uk

910, IT Services, Library, Glasgow G12 8QQ

Import to contacts

Publications

List by: Type | Date

Jump to: 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004 | 2003 | 2002
Number of items: 75.

2021

Simili, E. , Stewart, G., Roy, G., Skipsey, S. and Britton, D. (2021) A Hybrid System for Monitoring and Automated Recovery at the Glasgow Tier-2 Cluster. In: 25th International Conference on Computing in High Energy and Nuclear Physics (CHEP 2021), 17-21 May 2021, 02047. (doi: 10.1051/epjconf/202125102047)

2020

Roy, G., Simili, E. , Stewart, G., Skipsey, S.C. and Britton, D. (2020) Using Continous Deployment techniques to manage software change at a WLCG Tier-2. Journal of Physics: Conference Series, 1525, 012066. (doi: 10.1088/1742-6596/1525/1/012066)

2019

Albrecht, J. et al. (2019) A roadmap for HEP software and computing R&D for the 2020s. Computing and Software for Big Science, 3, 7. (doi: 10.1007/s41781-018-0018-8)

Roy, G., Simili, E. , Skipsey, S. C. , Stewart, G. and Britton, D. (2019) Using the Autopilot pattern to deploy container resources at a WLCG Tier-2. EPJ Web of Conferences, 214, 07013. (doi: 10.1051/epjconf/201921407013)

Skipsey, S. C. , Brew, C., Forti, A., Traynor, D., Li, T., Boutcher, A., Roy, G., Stewart, G. and Britton, D. (2019) Caching technologies for Tier-2 sites: a UK perspective. EPJ Web of Conferences, 214, 04002. (doi: 10.1051/epjconf/201921404002)

2018

Roy, G., Stewart, G., Crooks, D., Skipsey, S.C. and Britton, D. (2018) A container model for resource provision at a WLCG Tier-2. Journal of Physics: Conference Series, 1085(3), 032026. (doi: 10.1088/1742-6596/1085/3/032026)

2017

Skipsey, S. C. , Dewhurst, A., Crooks, D., MacMahon, E., Roy, G., Smith, O., Mohammed, K., Brew, C. and Britton, D. (2017) Storageless and caching Tier-2 models in the UK context. Journal of Physics: Conference Series, 898, 062047. (doi: 10.1088/1742-6596/898/6/062047)

2016

Qin, G., Roy, G., Crooks, D., Skipsey, S.C. , Stewart, G.P. and Britton, D. (2016) Cluster optimisation using Cgroups at a tier-2. Journal of Physics: Conference Series, 762(1), 012010. (doi: 10.1088/1742-6596/762/1/012010)

2015

Roy, G., Washbrook, A., Crooks, D., Qin, G., Skipsey, S. C. , Stewart, G. and Britton, D. (2015) Evaluation of containers as a virtualisation alternative for HEP workloads. Journal of Physics: Conference Series, 664(2), 022034. (doi: 10.1088/1742-6596/664/2/022034)

Skipsey, S. C. , De Witt, S., Dewhurst, A., Britton, D. , Roy, G. and Crooks, D. (2015) Enabling object storage via shims for grid middleware. Journal of Physics: Conference Series, 664(4), 042052. (doi: 10.1088/1742-6596/664/4/042052)

Skipsey, S. C. , Todev, P., Britton, D. , Crooks, D. and Roy, G. (2015) Extending DIRAC file management with erasure-coding for efficient storage. Journal of Physics: Conference Series, 664(4), 042051. (doi: 10.1088/1742-6596/664/4/042051)

Washbrook, A., Crooks, D., Roy, G., Skipsey, S. , Qin, G., Stewart, G.P. and Britton, D. (2015) Development of site-oriented analytics for grid computing centres. Journal of Physics: Conference Series, 664(2), 022041. (doi: 10.1088/1742-6596/664/2/022041)

2014

Crooks, D., Mitchell, M., Purdie, S., Roy, G., Skipsey, S. and Britton, D. (2014) Monitoring in a grid cluster. In: International Conference on Computing in High Energy and Nuclear Physics (CHEP 2013), Amsterdam, The Netherlands, 14-18 Oct 2013, 062010. (doi: 10.1088/1742-6596/513/6/062010)

Roy, G., Crooks, D., Mertens, L., Mitchell, M., Purdie, S., Skipsey, S. and Britton, D. (2014) A voyage to Arcturus: a model for automated management of a WLCG Tier-2 facility. In: International Conference on Computing in High Energy and Nuclear Physics (CHEP 2013), Amsterdam, The Netherlands, 14-18 Oct 2013, 062040. (doi: 10.1088/1742-6596/513/6/062040)

2012

Wang, X., Roy, G., Saxod, O., Bajolet, A., Juge, A. and Asenov, A. (2012) Simulation study of dominant statistical variability sources in 32-nm high-k/metal gate CMOS. IEEE Electron Device Letters, 33(5), pp. 643-645. (doi: 10.1109/LED.2012.2188268)

2011

Roy, G., Ghetti, A., Benvenuti, A., Erlebach, A. and Asenov, A. (2011) Comparative simulation study of the different sources of statistical variability in contemporary floating-gate nonvolatile memory. IEEE Transactions on Electron Devices, 58(12), pp. 4155-4163. (doi: 10.1109/TED.2011.2167511)

Amoroso, S.M., Alexander, C.L., Markov, S., Roy, G. and Asenov, A. (2011) A mobility model correction for 'atomistic' drift-diffusion simulation. In: 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, 8-10 Sep 2011, pp. 279-282. ISBN 9781612844190 (doi: 10.1109/SISPAD.2011.6035023)

Asenov, P., Adamu-Lema, F., Roy, S., Millar, C., Asenov, A. , Roy, G., Kovac, U. and Reid, D. (2011) The effect of compact modelling strategy on SNM and Read Current variability in Modern SRAM. In: 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, 8-10 Sep 2011, pp. 283-286. ISBN 9781612844190 (doi: 10.1109/SISPAD.2011.6035024)

Wang, X., Brown, A.R., Idris, N., Markov, S., Roy, G. and Asenov, A. (2011) Statistical threshold-voltage variability in scaled decananometer bulk HKMG MOSFETs: a full-scale 3-D simulation scaling study. IEEE Transactions on Electron Devices, 58(8), pp. 2293-2301. (doi: 10.1109/TED.2011.2149531)

2010

Kovac, U., Alexander, C., Roy, G., Cheng, B. and Asenov, A. (2010) Compact Model Extraction from Quantum Corrected Statistical Monte Carlo Simulation of Random Dopant Induced Drain Current Variability. In: 8th International Conference on Advanced Semiconductor Devices and Microsystems, 25-27 Oct 2010, pp. 317-320. ISBN 9781424485758 (doi: 10.1109/ASDAM.2010.5666361)

Kovac, U., Alexander, C., Roy, G., Riddet, C., Cheng, B.J. and Asenov, A. (2010) Hierarchical Simulation of Statistical Variability: From 3-D MC With "ab initio" Ionized Impurity Scattering to Statistical Compact Models. IEEE Transactions on Electron Devices, 57(10), pp. 2418-2426. (doi: 10.1109/TED.2010.2062517)

Bindu, B., Cheng, B., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Parameter set and data sampling strategy for accurate yet efficient statistical MOSFET compact model extraction. Solid-State Electronics, 54(3), pp. 307-315. (doi: 10.1016/j.sse.2009.09.028)

Asenov, A. , Cheng, B., Dideban, D., Kovac, U., Moezi, N., Millar, C., Roy, G., Brown, A. and Roy, S. (2010) Modeling and simulation of transistor and circuit variability and Reliability. In: Custom Integrated Circuit Conference (CICC), San Jose, CA, USA, 19-22 September 2010, pp. 1-8. (doi: 10.1109/CICC.2010.5617627)

Cheng, B., Dideban, D., Moezi, N., Millar, C., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Capturing intrinsic parameter fluctuations using the PSP compact model. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2010), Dresden, Germany, 8-12 March 2010, pp. 650-653.

Cheng, B.J., Dideban, D., Moezi, N., Millar, C., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Statistical-variability compact-modeling strategies for BSIM4 and PSP. IEEE Design and Test of Computers, 27(2), pp. 26-35. (doi: 10.1109/MDT.2010.53)

Kovac, U., Dideban, D., Cheng, B., Moezi, N., Roy, G. and Asenov, A. (2010) A novel approach to the statistical generation of non-normal distributed PSP compact model parameters using a nonlinear power method. In: 15th International Conference on Simulation of Semiconductor Preocesses and Devices (SISPAD), Bologna, Italy, 6-8 Sep 2010,

Sinnott, R.O., Stewart, G., Asenov, A., Millar, C., Reid, D., Roy, G., Roy, S., Davenhall, C., Harbulot, B. and Jones, M. (2010) E-infrastructure support for nanoCMOS device and circuit simulations. In: Hamza, M.H. (ed.) Proceedings of the Conference on Parallel and Distributed Computing and Networks, Innsbruck, Austria, 16-18th February 2010. ACTA Press: Anaheim, USA. ISBN 9780889868342

2009

Davenhall, C., Harbulot, B., Jones, M., Stewart, G., Sinnott, R.O., Asenov, A., Millar, C., Roy, G. and Reid, D. (2009) Data management of nanometre­ scale CMOS device simulations. In: 5th International Digital Curation Conference, London, UK, 2-4 Dec 2009,

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Analysis of threshold voltage distribution due to random dopants: a 100 000-sample 3-D simulation study. IEEE Transactions on Electron Devices, 56(10), pp. 2255-2263. (doi: 10.1109/TED.2009.2027973)

Sinnott, R.O., Stewart, G., Asenov, A., Millar, C., Reid, D., Roy, G., Roy, S., Davenhall, C., Harbulot, B. and Jones, M. (2009) Multi-level simulations to support nanoCMOS electronics research. In: 2009 ASME Design Engineering Technical Conferences and Computers and Information in Engineering Conference DETC2009, August 30-September 2, 2009, San Diego, California, USA. American Society of Mechanical Engineers: New York, USA. ISBN 9780791838563

Reid, D., Millar, C., Roy, S., Roy, G., Sinnott, R.O., Stewart, G., Stewart, G. and Asenov, A. (2009) Enabling cutting-edge semiconductor simulation through grid technology. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 367(1897), pp. 2573-2584. (doi: 10.1098/rsta.2009.0031)

Alexander, C., Kovac, U., Roy, G., Roy, S. and Asenov, A. (2009) A unified density gradient approach to 'ab-initio' ionized impurity scattering in 3D MC simulations of nano-CMOS variability. In: Ultimate Integration of Silicon: ULIS 2009, Aachen, Germany, 18-20 Mar 2009, pp. 43-46. (doi: 10.1109/ULIS.2009.4897535)

Asenov, A. , Brown, A., Roy, G., Cheng, B., Alexander, C., Riddet, C., Kovac, U., Martinez, A., Seoane, N. and Roy, S. (2009) Simulation of statistical variability in nano-CMOS transistors using drift-diffusion, Monte Carlo and non-equilibrium Green's function techniques. Journal of Computational Electronics, 8(3-4), pp. 349-373. (doi: 10.1007/s10825-009-0292-0)

Cheng, B., Moezi, N., Dideban, D., Roy, G., Roy, S. and Asenov, A. (2009) Benchmarking the Accuracy of PCA Generated Statistical Compact Model Parameters Against Physical Device Simulation and Directly Extracted Statistical Parameters. In: Simulation of Semiconductor Processes and Devices, 2009, San Diego, CA, 9-11th September, 2009, pp. 1-4. ISBN 1946-1569 (doi: 10.1109/SISPAD.2009.5290230)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Efficient simulation of 6σ VT distribution due to random descrete dopants. In: 10th International Conference on Ultimate Integration of Silicon, 2009. ULIS 2009., Aachen, Germany, 18-20 Mar 2009, pp. 23-26. (doi: 10.1109/ULIS.2009.4897530)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Statistical enhancement of combined simulations of RDD and LER variability: what can simulation of a 105 sample teach us? In: Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, USA, 7-9 December 2009. IEEE Computer Society, pp. 657-660. ISBN 9781424456390 (doi: 10.1109/IEDM.2009.5424241)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Understanding LER-induced statistical variability: a 35,000 sample 3D simulation study. In: European Solid State Device Research Conference, 2009. ESSDERC '09, Athens, Greece, 14-18 Sep 2009, pp. 423-426. (doi: 10.1109/ESSDERC.2009.5331515)

2008

Alexander, C., Roy, G. and Asenov, A. (2008) Random-dopant-induced drain current variation in Nano-MOSFETs: a three-dimensional self-consistent Monte Carlo simulation study using "ab initio" ionized impurity scattering. IEEE Transactions on Electron Devices, 55(11), pp. 3251-3258. (doi: 10.1109/TED.2008.2004647)

Sinnott, R.O. et al. (2008) Scalable, security-oriented solutions for nanoCMOS electronics. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sept 2008,

Harbulot, B., Berry, D., Davenhall, C., Jones, M., Millar, C., Roy, G., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) A resource-oriented data management architecture for nanoCMOS electronics. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sept 2008,

Asenov, A. et al. (2008) Advanced simulation of statistical variability and reliability in nano CMOS transistors. In: IEDM 2008. IEEE International Electron Devices Meeting, 2008, San Francisco, CA, 15-17 Dec 2008, p. 421. ISBN 9781424423774 (doi: 10.1109/IEDM.2008.4796712)

Bindu, B., Cheng, B., Roy, G., Wang, X., Roy, S. and Asenov, A. (2008) An efficient data sampling strategy for statistical parameter extraction of nano-MOSFETs. In: IEEE Workshop on Compact Modeling, Hakone, Japan, 8 Sept 2008,

Drysdale, T. D., Brown, A. R., Roy, G., Roy, S. and Asenov, A. (2008) Capacitance variability of short range interconnects. Journal of Computational Electronics, 7(3), pp. 124-127. (doi: 10.1007/s10825-007-0154-6)

Kovac, U., Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2008) Statistical simulation of random dopant induced threshold voltage fluctuations for 35 nm channel length MOSFET. Microelectronics Reliability, 48(8-9), pp. 1572-1575. (doi: 10.1016/j.microrel.2008.06.027)

Millar, C., Reid, D., Roy, G., Roy, S. and Asenov, A. (2008) Accurate statistical description of random dopant-induced threshold voltage variability. IEEE Electron Device Letters, 29(8), pp. 946-948. (doi: 10.1109/LED.2008.2001030)

Reid, D., Millar, C., Asenov, A. , Roy, S., Roy, G., Sinnott, R.O. and Stewart, G. (2008) Supporting statistical semiconductor device analysis using EGEE and OMII-UK middleware. In: EGEE User Conference, Clermond Ferrand, France, Feb 2008,

Reid, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) An accurate statistical analysis of random dopant induced variability in 140,000 13nm MOSFET. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418478)

Reid, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) Prediction of random dopant induced threshold voltage fluctuations in NanoCMOS transistors. In: Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices, 9-11 Sept 2008, Hakone, Japan. IEEE Computer Society: Piscataway, N.J., USA, pp. 21-24. ISBN 9781424417537 (doi: 10.1109/SISPAD.2008.4648227)

Reid, D., Millar, C., Roy, S., Roy, G., Sinnott, R., Stewart, G. and Asenov, A. (2008) An accurate statistical analysis of random dopant induced variability in 140,00013nm MOSFETs. IEEE, pp. 79-80. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418478)

Reid, D., Sinnott, R.O., Millar, C., Roy, G., Roy, S., Stewart, G., Stewart, G. and Asenov, A. (2008) Enabling cutting-edge semiconductor simulation through grid technology. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sep 2008,

Sinnott, R.O. et al. (2008) Secure, performance-oriented data management for nanoCMOS electronics. In: Fourth IEEE International Conference on E-Science: 7-12 December 2008, Indiana, USA. IEEE Computer Society: Piscataway, N.J., USA, pp. 87-94. (doi: 10.1109/eScience.2008.21)

Sinnott, R.O. et al. (2008) Integrating security solutions to support nanoCMOS electronics research. In: Proceedings of the 2008 International Symposium on Parallel and Distributed Processing with Applications: 10-12 December 2008, Sydney, NSW, Australia. IEEE Computer Society: Los Alamitos, USA, pp. 71-79. ISBN 9780769534718 (doi: 10.1109/ISPA.2008.132)

Sinnott, R.O., Berry, D., Harbulot, B., Millar, C., Reid, D., Roy, G., Roy, S., Stewart, G. and Asenov, A. (2008) Meeting the design challenges of nanoCMOS electronics through secure large-scale simulation and data management. In: EGEE'08, Istanbul, Turkey, 22-26 Sep 2008,

2007

Brown, A.R., Roy, G. and Asenov, A. (2007) Poly-Si-gate-related variability in decananometer MOSFETs with conventional architecture. IEEE Transactions on Electron Devices, 54(11), pp. 3056-3063. (doi: 10.1109/TED.2007.907802)

Sinnott, R.O., Asenov, A. , Brown, A., Millar, C., Roy, G., Roy, S. and Stewart, G. (2007) Grid infrastructures for the electronics domain: requirements and early prototypes from an EPSRC pilot project. In: Cox, S.J. (ed.) Proceedings of the UK e-Science All Hands Meeting 2007, Nottingham, UK, 10th-13th September 2007. National e-Science Centre: Edinburgh. ISBN 9780955398834

Markov, S., Brown, A.R., Cheng, B.J., Roy, G., Roy, S. and Asenov, A. (2007) Three-dimensional statistical simulation of gate leakage fluctuations due to combined interface roughness and random dopants. Japanese Journal of Applied Physics, 46(4S), pp. 2112-2116. (doi: 10.1143/JJAP.46.2112)

Han, L., Asenov, A. , Berry, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O. and Stewart, G. (2007) Towards a grid-enabled simulation framework for nano-CMOS electronics. In: 3rd IEEE International Conference on e-Science and Grid Computing, Bangalore, India, 10-13 Dec 2007, pp. 305-311. (doi: 10.1109/E-SCIENCE.2007.78)

2006

Roy, G., Brown, A.R., Adamu-Lema, F., Roy, S. and Asenov, A. (2006) Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional nano-MOSFETs. IEEE Transactions on Electron Devices, 53(12), pp. 3063-3070. (doi: 10.1109/TED.2006.885683)

Alexander, C., Roy, G. and Asenov, A. (2006) Increased intrinsic parameter fluctuations through ab initio Monte Carlo simulations in nano-scaled MOSFETs. In: International Electron Devices Meeting 2006, IEDM, San Fransisco, CA, USA,

Asenov, A., Brown, A., Roy, G., Alexander, C. and Martinez, A. (2006) Simulation of Atomic Scale Effects and Fluctuations in nano-scale CMOS. In: International Conference on Solid State Devices and Materials. (SSDM 2006)., Yokohama,Japan,

Brown, A., Roy, G. and Asenov, A. (2006) Impact of Fermi level pinning at polysilicon gate grain boundaries on nano-MOSFET variability:A 3-D simulation study. In: 34th European Solid State Devices Research Conference, Montreux, Switzerland, pp. 451-454.

Cheng, B., Roy, S., Roy, G., Brown, A. and Asenov, A. (2006) Design consideration of 6-T SRAM towards the End Of Bulk CMOS Technology scaling subjected to randon dopant fluctuations. In: 34th European Solid State Devices Research Conference, Montreux, Switzerland, pp. 258-261.

Markov, S., Brown, A., Cheng, B., Roy, G., Roy, S. and Asenov, A. (2006) 3D statistical simulation of gate leakage fluctutations due to combined interface roughness and random dopants. In: International Conference on Solid State Devices and Materials. (SSDM 2006)., Yokohama,Japan, pp. 362-363.

2005

Cheng, B., Roy, S., Roy, G., Adamu-Lema, F. and Asenov, A. (2005) Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells. Solid-State Electronics, 49(5), pp. 740-746. (doi: 10.1016/j.sse.2004.09.005)

Roy, G., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2005) Intrinsic parameter fluctuations in conventional MOSFETs until the end of the ITRS. In: New Phenomena in Mesoscopic Structures - 7 (NPMS) and the fifth in the series of Surfaces and Interfaces of Mesoscopic Devices (SIMD), NPMS-7/SIMD-5, Maui, Hawaii,

Roy, G., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2005) Simulation of combined sources of intrinsic parameter fluctuations in 'real' 35nm MOSFET. In: European Solid-State Device Research Conference 2005 - ESSDERC2005, Grenoble, France,

2004

Adamu-Lema, F., Roy, S., Brown, A., Asenov, A. and Roy, G. (2004) Intrinsic parameter fluctuations in conventional MOSFETs at the scaling limit : a statistical study. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 44-45.

Asenov, A., Roy, G., Alexander, C., Brown, A., Watling, J. and Roy, S. (2004) Quantum mechanical and transport effects in resolving discrete charges in nano-CMOS device simulation. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany,

2003

Roy, G., Brown, A. R., Asenov, A. and Roy, S. (2003) Bipolar quantum corrections in resolving individual dopants in 'atomistic' device simulation. Superlattices and Microstructures, 34(3-6), pp. 327-334. (doi: 10.1016/j.spmi.2004.03.066)

Cheng, B., Roy, S., Roy, G. and Asenov, A. (2003) Integrating 'atomistic' intrinsic parameter fluctuations into compact model circuit analysis. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal, pp. 437-440.

Cheng, B.J., Roy, S., Roy, G. and Asenov, A. (2003) Integrating 'atomistic', intrinsic parameter fluctuations into compact model circuit analysis. In: 33rd Conference on European Solid-State Device Research. ESSDERC '03, Estoril, Portugal, 16-18 Sep 2003, pp. 437-440. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256907)

Roy, G., Brown, A., Asenov, A. and Roy, S. (2003) Bipolar quantum corrections in resolving individual dopants in atomistic, intrinsic parameter fluctuations into compact model circuit analysis. In: NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices, Maui, Hawaii, Maui, Hawaii, pp. 34-35.

Roy, G., Brown, A., Asenov, A. and Roy, S. (2003) Quantum aspects of resolving discrete charges in atomistic device simulation. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Roy, S., Cheng, B., Roy, G. and Asenov, A. (2003) A methodology for introducing atomistic parameter fluctutations into compact device models for circuit simulation. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

2002

Asenov, A. , Jaraiz, M., Roy, S., Roy, G. and Adamu-Lema, F. (2002) Integrated atomistic process and device simulation of decananometre MOSFETs. In: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD 2002), Kobe, Japan, 4-6 Sep 2002, pp. 87-90. ISBN 4891140275 (doi: 10.1109/SISPAD.2002.1034523)

This list was generated on Fri Apr 19 15:39:53 2024 BST.
Number of items: 75.

Articles

Roy, G., Simili, E. , Stewart, G., Skipsey, S.C. and Britton, D. (2020) Using Continous Deployment techniques to manage software change at a WLCG Tier-2. Journal of Physics: Conference Series, 1525, 012066. (doi: 10.1088/1742-6596/1525/1/012066)

Albrecht, J. et al. (2019) A roadmap for HEP software and computing R&D for the 2020s. Computing and Software for Big Science, 3, 7. (doi: 10.1007/s41781-018-0018-8)

Roy, G., Simili, E. , Skipsey, S. C. , Stewart, G. and Britton, D. (2019) Using the Autopilot pattern to deploy container resources at a WLCG Tier-2. EPJ Web of Conferences, 214, 07013. (doi: 10.1051/epjconf/201921407013)

Skipsey, S. C. , Brew, C., Forti, A., Traynor, D., Li, T., Boutcher, A., Roy, G., Stewart, G. and Britton, D. (2019) Caching technologies for Tier-2 sites: a UK perspective. EPJ Web of Conferences, 214, 04002. (doi: 10.1051/epjconf/201921404002)

Roy, G., Stewart, G., Crooks, D., Skipsey, S.C. and Britton, D. (2018) A container model for resource provision at a WLCG Tier-2. Journal of Physics: Conference Series, 1085(3), 032026. (doi: 10.1088/1742-6596/1085/3/032026)

Skipsey, S. C. , Dewhurst, A., Crooks, D., MacMahon, E., Roy, G., Smith, O., Mohammed, K., Brew, C. and Britton, D. (2017) Storageless and caching Tier-2 models in the UK context. Journal of Physics: Conference Series, 898, 062047. (doi: 10.1088/1742-6596/898/6/062047)

Qin, G., Roy, G., Crooks, D., Skipsey, S.C. , Stewart, G.P. and Britton, D. (2016) Cluster optimisation using Cgroups at a tier-2. Journal of Physics: Conference Series, 762(1), 012010. (doi: 10.1088/1742-6596/762/1/012010)

Roy, G., Washbrook, A., Crooks, D., Qin, G., Skipsey, S. C. , Stewart, G. and Britton, D. (2015) Evaluation of containers as a virtualisation alternative for HEP workloads. Journal of Physics: Conference Series, 664(2), 022034. (doi: 10.1088/1742-6596/664/2/022034)

Skipsey, S. C. , De Witt, S., Dewhurst, A., Britton, D. , Roy, G. and Crooks, D. (2015) Enabling object storage via shims for grid middleware. Journal of Physics: Conference Series, 664(4), 042052. (doi: 10.1088/1742-6596/664/4/042052)

Skipsey, S. C. , Todev, P., Britton, D. , Crooks, D. and Roy, G. (2015) Extending DIRAC file management with erasure-coding for efficient storage. Journal of Physics: Conference Series, 664(4), 042051. (doi: 10.1088/1742-6596/664/4/042051)

Washbrook, A., Crooks, D., Roy, G., Skipsey, S. , Qin, G., Stewart, G.P. and Britton, D. (2015) Development of site-oriented analytics for grid computing centres. Journal of Physics: Conference Series, 664(2), 022041. (doi: 10.1088/1742-6596/664/2/022041)

Wang, X., Roy, G., Saxod, O., Bajolet, A., Juge, A. and Asenov, A. (2012) Simulation study of dominant statistical variability sources in 32-nm high-k/metal gate CMOS. IEEE Electron Device Letters, 33(5), pp. 643-645. (doi: 10.1109/LED.2012.2188268)

Roy, G., Ghetti, A., Benvenuti, A., Erlebach, A. and Asenov, A. (2011) Comparative simulation study of the different sources of statistical variability in contemporary floating-gate nonvolatile memory. IEEE Transactions on Electron Devices, 58(12), pp. 4155-4163. (doi: 10.1109/TED.2011.2167511)

Wang, X., Brown, A.R., Idris, N., Markov, S., Roy, G. and Asenov, A. (2011) Statistical threshold-voltage variability in scaled decananometer bulk HKMG MOSFETs: a full-scale 3-D simulation scaling study. IEEE Transactions on Electron Devices, 58(8), pp. 2293-2301. (doi: 10.1109/TED.2011.2149531)

Kovac, U., Alexander, C., Roy, G., Riddet, C., Cheng, B.J. and Asenov, A. (2010) Hierarchical Simulation of Statistical Variability: From 3-D MC With "ab initio" Ionized Impurity Scattering to Statistical Compact Models. IEEE Transactions on Electron Devices, 57(10), pp. 2418-2426. (doi: 10.1109/TED.2010.2062517)

Bindu, B., Cheng, B., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Parameter set and data sampling strategy for accurate yet efficient statistical MOSFET compact model extraction. Solid-State Electronics, 54(3), pp. 307-315. (doi: 10.1016/j.sse.2009.09.028)

Cheng, B.J., Dideban, D., Moezi, N., Millar, C., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Statistical-variability compact-modeling strategies for BSIM4 and PSP. IEEE Design and Test of Computers, 27(2), pp. 26-35. (doi: 10.1109/MDT.2010.53)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Analysis of threshold voltage distribution due to random dopants: a 100 000-sample 3-D simulation study. IEEE Transactions on Electron Devices, 56(10), pp. 2255-2263. (doi: 10.1109/TED.2009.2027973)

Reid, D., Millar, C., Roy, S., Roy, G., Sinnott, R.O., Stewart, G., Stewart, G. and Asenov, A. (2009) Enabling cutting-edge semiconductor simulation through grid technology. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 367(1897), pp. 2573-2584. (doi: 10.1098/rsta.2009.0031)

Asenov, A. , Brown, A., Roy, G., Cheng, B., Alexander, C., Riddet, C., Kovac, U., Martinez, A., Seoane, N. and Roy, S. (2009) Simulation of statistical variability in nano-CMOS transistors using drift-diffusion, Monte Carlo and non-equilibrium Green's function techniques. Journal of Computational Electronics, 8(3-4), pp. 349-373. (doi: 10.1007/s10825-009-0292-0)

Alexander, C., Roy, G. and Asenov, A. (2008) Random-dopant-induced drain current variation in Nano-MOSFETs: a three-dimensional self-consistent Monte Carlo simulation study using "ab initio" ionized impurity scattering. IEEE Transactions on Electron Devices, 55(11), pp. 3251-3258. (doi: 10.1109/TED.2008.2004647)

Drysdale, T. D., Brown, A. R., Roy, G., Roy, S. and Asenov, A. (2008) Capacitance variability of short range interconnects. Journal of Computational Electronics, 7(3), pp. 124-127. (doi: 10.1007/s10825-007-0154-6)

Kovac, U., Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2008) Statistical simulation of random dopant induced threshold voltage fluctuations for 35 nm channel length MOSFET. Microelectronics Reliability, 48(8-9), pp. 1572-1575. (doi: 10.1016/j.microrel.2008.06.027)

Millar, C., Reid, D., Roy, G., Roy, S. and Asenov, A. (2008) Accurate statistical description of random dopant-induced threshold voltage variability. IEEE Electron Device Letters, 29(8), pp. 946-948. (doi: 10.1109/LED.2008.2001030)

Brown, A.R., Roy, G. and Asenov, A. (2007) Poly-Si-gate-related variability in decananometer MOSFETs with conventional architecture. IEEE Transactions on Electron Devices, 54(11), pp. 3056-3063. (doi: 10.1109/TED.2007.907802)

Markov, S., Brown, A.R., Cheng, B.J., Roy, G., Roy, S. and Asenov, A. (2007) Three-dimensional statistical simulation of gate leakage fluctuations due to combined interface roughness and random dopants. Japanese Journal of Applied Physics, 46(4S), pp. 2112-2116. (doi: 10.1143/JJAP.46.2112)

Roy, G., Brown, A.R., Adamu-Lema, F., Roy, S. and Asenov, A. (2006) Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional nano-MOSFETs. IEEE Transactions on Electron Devices, 53(12), pp. 3063-3070. (doi: 10.1109/TED.2006.885683)

Cheng, B., Roy, S., Roy, G., Adamu-Lema, F. and Asenov, A. (2005) Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells. Solid-State Electronics, 49(5), pp. 740-746. (doi: 10.1016/j.sse.2004.09.005)

Roy, G., Brown, A. R., Asenov, A. and Roy, S. (2003) Bipolar quantum corrections in resolving individual dopants in 'atomistic' device simulation. Superlattices and Microstructures, 34(3-6), pp. 327-334. (doi: 10.1016/j.spmi.2004.03.066)

Books

Reid, D., Millar, C., Roy, S., Roy, G., Sinnott, R., Stewart, G. and Asenov, A. (2008) An accurate statistical analysis of random dopant induced variability in 140,00013nm MOSFETs. IEEE, pp. 79-80. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418478)

Book Sections

Sinnott, R.O., Stewart, G., Asenov, A., Millar, C., Reid, D., Roy, G., Roy, S., Davenhall, C., Harbulot, B. and Jones, M. (2010) E-infrastructure support for nanoCMOS device and circuit simulations. In: Hamza, M.H. (ed.) Proceedings of the Conference on Parallel and Distributed Computing and Networks, Innsbruck, Austria, 16-18th February 2010. ACTA Press: Anaheim, USA. ISBN 9780889868342

Sinnott, R.O., Stewart, G., Asenov, A., Millar, C., Reid, D., Roy, G., Roy, S., Davenhall, C., Harbulot, B. and Jones, M. (2009) Multi-level simulations to support nanoCMOS electronics research. In: 2009 ASME Design Engineering Technical Conferences and Computers and Information in Engineering Conference DETC2009, August 30-September 2, 2009, San Diego, California, USA. American Society of Mechanical Engineers: New York, USA. ISBN 9780791838563

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Statistical enhancement of combined simulations of RDD and LER variability: what can simulation of a 105 sample teach us? In: Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, USA, 7-9 December 2009. IEEE Computer Society, pp. 657-660. ISBN 9781424456390 (doi: 10.1109/IEDM.2009.5424241)

Reid, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) An accurate statistical analysis of random dopant induced variability in 140,000 13nm MOSFET. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418478)

Reid, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) Prediction of random dopant induced threshold voltage fluctuations in NanoCMOS transistors. In: Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices, 9-11 Sept 2008, Hakone, Japan. IEEE Computer Society: Piscataway, N.J., USA, pp. 21-24. ISBN 9781424417537 (doi: 10.1109/SISPAD.2008.4648227)

Sinnott, R.O. et al. (2008) Secure, performance-oriented data management for nanoCMOS electronics. In: Fourth IEEE International Conference on E-Science: 7-12 December 2008, Indiana, USA. IEEE Computer Society: Piscataway, N.J., USA, pp. 87-94. (doi: 10.1109/eScience.2008.21)

Sinnott, R.O. et al. (2008) Integrating security solutions to support nanoCMOS electronics research. In: Proceedings of the 2008 International Symposium on Parallel and Distributed Processing with Applications: 10-12 December 2008, Sydney, NSW, Australia. IEEE Computer Society: Los Alamitos, USA, pp. 71-79. ISBN 9780769534718 (doi: 10.1109/ISPA.2008.132)

Sinnott, R.O., Asenov, A. , Brown, A., Millar, C., Roy, G., Roy, S. and Stewart, G. (2007) Grid infrastructures for the electronics domain: requirements and early prototypes from an EPSRC pilot project. In: Cox, S.J. (ed.) Proceedings of the UK e-Science All Hands Meeting 2007, Nottingham, UK, 10th-13th September 2007. National e-Science Centre: Edinburgh. ISBN 9780955398834

Conference Proceedings

Simili, E. , Stewart, G., Roy, G., Skipsey, S. and Britton, D. (2021) A Hybrid System for Monitoring and Automated Recovery at the Glasgow Tier-2 Cluster. In: 25th International Conference on Computing in High Energy and Nuclear Physics (CHEP 2021), 17-21 May 2021, 02047. (doi: 10.1051/epjconf/202125102047)

Crooks, D., Mitchell, M., Purdie, S., Roy, G., Skipsey, S. and Britton, D. (2014) Monitoring in a grid cluster. In: International Conference on Computing in High Energy and Nuclear Physics (CHEP 2013), Amsterdam, The Netherlands, 14-18 Oct 2013, 062010. (doi: 10.1088/1742-6596/513/6/062010)

Roy, G., Crooks, D., Mertens, L., Mitchell, M., Purdie, S., Skipsey, S. and Britton, D. (2014) A voyage to Arcturus: a model for automated management of a WLCG Tier-2 facility. In: International Conference on Computing in High Energy and Nuclear Physics (CHEP 2013), Amsterdam, The Netherlands, 14-18 Oct 2013, 062040. (doi: 10.1088/1742-6596/513/6/062040)

Amoroso, S.M., Alexander, C.L., Markov, S., Roy, G. and Asenov, A. (2011) A mobility model correction for 'atomistic' drift-diffusion simulation. In: 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, 8-10 Sep 2011, pp. 279-282. ISBN 9781612844190 (doi: 10.1109/SISPAD.2011.6035023)

Asenov, P., Adamu-Lema, F., Roy, S., Millar, C., Asenov, A. , Roy, G., Kovac, U. and Reid, D. (2011) The effect of compact modelling strategy on SNM and Read Current variability in Modern SRAM. In: 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, 8-10 Sep 2011, pp. 283-286. ISBN 9781612844190 (doi: 10.1109/SISPAD.2011.6035024)

Kovac, U., Alexander, C., Roy, G., Cheng, B. and Asenov, A. (2010) Compact Model Extraction from Quantum Corrected Statistical Monte Carlo Simulation of Random Dopant Induced Drain Current Variability. In: 8th International Conference on Advanced Semiconductor Devices and Microsystems, 25-27 Oct 2010, pp. 317-320. ISBN 9781424485758 (doi: 10.1109/ASDAM.2010.5666361)

Asenov, A. , Cheng, B., Dideban, D., Kovac, U., Moezi, N., Millar, C., Roy, G., Brown, A. and Roy, S. (2010) Modeling and simulation of transistor and circuit variability and Reliability. In: Custom Integrated Circuit Conference (CICC), San Jose, CA, USA, 19-22 September 2010, pp. 1-8. (doi: 10.1109/CICC.2010.5617627)

Cheng, B., Dideban, D., Moezi, N., Millar, C., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Capturing intrinsic parameter fluctuations using the PSP compact model. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2010), Dresden, Germany, 8-12 March 2010, pp. 650-653.

Kovac, U., Dideban, D., Cheng, B., Moezi, N., Roy, G. and Asenov, A. (2010) A novel approach to the statistical generation of non-normal distributed PSP compact model parameters using a nonlinear power method. In: 15th International Conference on Simulation of Semiconductor Preocesses and Devices (SISPAD), Bologna, Italy, 6-8 Sep 2010,

Davenhall, C., Harbulot, B., Jones, M., Stewart, G., Sinnott, R.O., Asenov, A., Millar, C., Roy, G. and Reid, D. (2009) Data management of nanometre­ scale CMOS device simulations. In: 5th International Digital Curation Conference, London, UK, 2-4 Dec 2009,

Alexander, C., Kovac, U., Roy, G., Roy, S. and Asenov, A. (2009) A unified density gradient approach to 'ab-initio' ionized impurity scattering in 3D MC simulations of nano-CMOS variability. In: Ultimate Integration of Silicon: ULIS 2009, Aachen, Germany, 18-20 Mar 2009, pp. 43-46. (doi: 10.1109/ULIS.2009.4897535)

Cheng, B., Moezi, N., Dideban, D., Roy, G., Roy, S. and Asenov, A. (2009) Benchmarking the Accuracy of PCA Generated Statistical Compact Model Parameters Against Physical Device Simulation and Directly Extracted Statistical Parameters. In: Simulation of Semiconductor Processes and Devices, 2009, San Diego, CA, 9-11th September, 2009, pp. 1-4. ISBN 1946-1569 (doi: 10.1109/SISPAD.2009.5290230)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Efficient simulation of 6σ VT distribution due to random descrete dopants. In: 10th International Conference on Ultimate Integration of Silicon, 2009. ULIS 2009., Aachen, Germany, 18-20 Mar 2009, pp. 23-26. (doi: 10.1109/ULIS.2009.4897530)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Understanding LER-induced statistical variability: a 35,000 sample 3D simulation study. In: European Solid State Device Research Conference, 2009. ESSDERC '09, Athens, Greece, 14-18 Sep 2009, pp. 423-426. (doi: 10.1109/ESSDERC.2009.5331515)

Sinnott, R.O. et al. (2008) Scalable, security-oriented solutions for nanoCMOS electronics. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sept 2008,

Harbulot, B., Berry, D., Davenhall, C., Jones, M., Millar, C., Roy, G., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) A resource-oriented data management architecture for nanoCMOS electronics. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sept 2008,

Asenov, A. et al. (2008) Advanced simulation of statistical variability and reliability in nano CMOS transistors. In: IEDM 2008. IEEE International Electron Devices Meeting, 2008, San Francisco, CA, 15-17 Dec 2008, p. 421. ISBN 9781424423774 (doi: 10.1109/IEDM.2008.4796712)

Bindu, B., Cheng, B., Roy, G., Wang, X., Roy, S. and Asenov, A. (2008) An efficient data sampling strategy for statistical parameter extraction of nano-MOSFETs. In: IEEE Workshop on Compact Modeling, Hakone, Japan, 8 Sept 2008,

Reid, D., Millar, C., Asenov, A. , Roy, S., Roy, G., Sinnott, R.O. and Stewart, G. (2008) Supporting statistical semiconductor device analysis using EGEE and OMII-UK middleware. In: EGEE User Conference, Clermond Ferrand, France, Feb 2008,

Reid, D., Sinnott, R.O., Millar, C., Roy, G., Roy, S., Stewart, G., Stewart, G. and Asenov, A. (2008) Enabling cutting-edge semiconductor simulation through grid technology. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sep 2008,

Sinnott, R.O., Berry, D., Harbulot, B., Millar, C., Reid, D., Roy, G., Roy, S., Stewart, G. and Asenov, A. (2008) Meeting the design challenges of nanoCMOS electronics through secure large-scale simulation and data management. In: EGEE'08, Istanbul, Turkey, 22-26 Sep 2008,

Han, L., Asenov, A. , Berry, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O. and Stewart, G. (2007) Towards a grid-enabled simulation framework for nano-CMOS electronics. In: 3rd IEEE International Conference on e-Science and Grid Computing, Bangalore, India, 10-13 Dec 2007, pp. 305-311. (doi: 10.1109/E-SCIENCE.2007.78)

Alexander, C., Roy, G. and Asenov, A. (2006) Increased intrinsic parameter fluctuations through ab initio Monte Carlo simulations in nano-scaled MOSFETs. In: International Electron Devices Meeting 2006, IEDM, San Fransisco, CA, USA,

Asenov, A., Brown, A., Roy, G., Alexander, C. and Martinez, A. (2006) Simulation of Atomic Scale Effects and Fluctuations in nano-scale CMOS. In: International Conference on Solid State Devices and Materials. (SSDM 2006)., Yokohama,Japan,

Brown, A., Roy, G. and Asenov, A. (2006) Impact of Fermi level pinning at polysilicon gate grain boundaries on nano-MOSFET variability:A 3-D simulation study. In: 34th European Solid State Devices Research Conference, Montreux, Switzerland, pp. 451-454.

Cheng, B., Roy, S., Roy, G., Brown, A. and Asenov, A. (2006) Design consideration of 6-T SRAM towards the End Of Bulk CMOS Technology scaling subjected to randon dopant fluctuations. In: 34th European Solid State Devices Research Conference, Montreux, Switzerland, pp. 258-261.

Markov, S., Brown, A., Cheng, B., Roy, G., Roy, S. and Asenov, A. (2006) 3D statistical simulation of gate leakage fluctutations due to combined interface roughness and random dopants. In: International Conference on Solid State Devices and Materials. (SSDM 2006)., Yokohama,Japan, pp. 362-363.

Roy, G., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2005) Intrinsic parameter fluctuations in conventional MOSFETs until the end of the ITRS. In: New Phenomena in Mesoscopic Structures - 7 (NPMS) and the fifth in the series of Surfaces and Interfaces of Mesoscopic Devices (SIMD), NPMS-7/SIMD-5, Maui, Hawaii,

Roy, G., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2005) Simulation of combined sources of intrinsic parameter fluctuations in 'real' 35nm MOSFET. In: European Solid-State Device Research Conference 2005 - ESSDERC2005, Grenoble, France,

Adamu-Lema, F., Roy, S., Brown, A., Asenov, A. and Roy, G. (2004) Intrinsic parameter fluctuations in conventional MOSFETs at the scaling limit : a statistical study. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 44-45.

Asenov, A., Roy, G., Alexander, C., Brown, A., Watling, J. and Roy, S. (2004) Quantum mechanical and transport effects in resolving discrete charges in nano-CMOS device simulation. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany,

Cheng, B., Roy, S., Roy, G. and Asenov, A. (2003) Integrating 'atomistic' intrinsic parameter fluctuations into compact model circuit analysis. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal, pp. 437-440.

Cheng, B.J., Roy, S., Roy, G. and Asenov, A. (2003) Integrating 'atomistic', intrinsic parameter fluctuations into compact model circuit analysis. In: 33rd Conference on European Solid-State Device Research. ESSDERC '03, Estoril, Portugal, 16-18 Sep 2003, pp. 437-440. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256907)

Roy, G., Brown, A., Asenov, A. and Roy, S. (2003) Bipolar quantum corrections in resolving individual dopants in atomistic, intrinsic parameter fluctuations into compact model circuit analysis. In: NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices, Maui, Hawaii, Maui, Hawaii, pp. 34-35.

Roy, G., Brown, A., Asenov, A. and Roy, S. (2003) Quantum aspects of resolving discrete charges in atomistic device simulation. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Roy, S., Cheng, B., Roy, G. and Asenov, A. (2003) A methodology for introducing atomistic parameter fluctutations into compact device models for circuit simulation. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Asenov, A. , Jaraiz, M., Roy, S., Roy, G. and Adamu-Lema, F. (2002) Integrated atomistic process and device simulation of decananometre MOSFETs. In: International Conference on Simulation of Semiconductor Processes and Devices (SISPAD 2002), Kobe, Japan, 4-6 Sep 2002, pp. 87-90. ISBN 4891140275 (doi: 10.1109/SISPAD.2002.1034523)

This list was generated on Fri Apr 19 15:39:53 2024 BST.