Professor David Moran

  • Professor of Advanced Semiconductors (Electronic & Nanoscale Engineering)

telephone: 01413304106
email: David.Moran@glasgow.ac.uk

R526 Level 5, Eng -Micro & Nanotechnology, Rankine Building, Glasgow G12 8LT

Import to contacts

ORCID iDhttps://orcid.org/0000-0003-4085-7650

Research interests

David is Professor of Advanced Semiconductors in the School of Engineering and lead of the Advanced Semiconductor Materials and Devices group.

His research interests include:

- Wide Bandgap and Ultra-Wide Bandgap materials including GaN, AlGaN, Ga2O3, cubic boron-nitride and diamond. 

- III-V materials and devices for cryogenic electronics.

- High temperature and radiation-hard electronic devices and systems.

- 2D dichalcogenide materials for electronic devices.

- High frequency (RF) and high power semiconductor devices.

- Diamond Micro and Nano Electrical Mechanical Systems (MEMS/NEMS)

- Diamond-based radiation detectors.

- Colour centres and defects in diamond for quantum applications.

 

 ASMaD logo

 Google scholar profile

Publications

Selected publications

Russell, S., Sharabi, S., Tallaire, A. and Moran, D. (2012) Hydrogen-terminated diamond field-effect transistors with cutoff frequency of 53 GHz. IEEE Electron Device Letters, 33(10), pp. 1471-1473. (doi: 10.1109/LED.2012.2210020)

Russell, S. A. O., Cao, L., Qi, D., Tallaire, A., Crawford, K. G., Wee, A. T. S. and Moran, D. A. J. (2013) Surface transfer doping of diamond by MoO3: a combined spectroscopic and Hall measurement study. Applied Physics Letters, 103(20), p. 202112. (doi: 10.1063/1.4832455)

Moran, D.A.J. , Fox, O.J.L., McLelland, H., Russell, S. and May, P.W. (2011) Scaling of hydrogen-terminated diamond FETs to Sub-100-nm gate dimensions. IEEE Electron Device Letters, 32(5), pp. 599-601. (doi: 10.1109/LED.2011.2114871)

Crawford, K., Cao, L., Qi, D., Tallaire, A., Limiti, E., Verona, C., Wee, A. T.S. and Moran, D. (2016) Enhanced surface transfer doping of diamond by V2O5 with improved thermal stability. Applied Physics Letters, 108(4), 042103. (doi: 10.1063/1.4940749)

All publications

List by: Type | Date

Jump to: 2024 | 2023 | 2022 | 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004 | 2003 | 2002
Number of items: 128.

2024

Samuel, A. K., Faqeeh, A. H., Li, W., Ertekin, Z. , Wang, Y., Zhang, J., Gadegaard, N. , Moran, D. A.J. , Symes, M. D. and Ganin, A. Y. (2024) Assessing challenges of 2D-molybdenum ditelluride for efficient hydrogen generation in a full-scale proton exchange membrane (PEM) water electrolyzer. ACS Sustainable Chemistry and Engineering, 12(3), pp. 1276-1285. (doi: 10.1021/acssuschemeng.3c06616)

2023

Sun, Y., Sviridova, E., Kamp, M., Zhang, J., Kienle, L., Moran, D. A.J. , Guselnikova, O. and Ganin, A. Y. (2023) Elucidating catalytic sites governing the performance toward the hydrogen evolution reaction in ternary nitride electrocatalysts. ACS Applied Energy Materials, 6(3), pp. 1265-1273. (doi: 10.1021/acsaem.2c02941)

2022

Crawford, K. G. , Grant, J. , Hemakumara, D. T., Li, X. , Thayne, I. and Moran, D. A.J. (2022) High synergy atomic layer etching of AlGaN/GaN with HBr and Ar. Journal of Vacuum Science and Technology A: Vacuum, Surfaces, and Films, 40(4), 042601. (doi: 10.1116/6.0001862)

2021

Crawford, K. G. , Maini, I., Macdonald, D. A. and Moran, D. A.J. (2021) Surface transfer doping of diamond: a review. Progress in Surface Science, 96(1), 100613. (doi: 10.1016/j.progsurf.2021.100613)

2020

Fraser, J. P. et al. (2020) Selective phase growth and precise-layer control in MoTe2. Communications Materials, 1, 48. (doi: 10.1038/s43246-020-00048-4)

2019

Hemakumara, D., Li, X. , Floros, K., Cho, S., Guinney, I., Humphreys, C., Thayne, I. G., O'Mahony, A., Knoops, H. and Moran, D. (2019) Improved Performance of GaN Metal-Oxide-Semiconductor Capacitors byPplasma ALD of AlN Interlayer. AVS 19th International Conference on Atomic Layer Deposition (ALD 2019) / 6th International Atomic Layer Etching Workshop (ALE 2019), Washington, USA, 21-24 July 2019.

Li, X. , Fu, Y.-C., Cho, S.-J., Hemakumara, D., Floros, K., Moran, D. and Thayne, I. G. (2019) Developments of Atomic Layer Etch Processes and their Applications in Fabricating III-V Compound Semiconductor Devices. AVS 19th International Conference on Atomic Layer Deposition (ALD 2019) / 6th International Atomic Layer Etching Workshop (ALE 2019), Washington, USA, 21-24 July 2019.

Li, X. , Hemakumara, D., Fu, Y.-C., Moran, D. and Thayne, I. (2019) A Study of In-situ X-ray Photoelectron Spectroscopy Surface Analysis in Development of Atomic Layer Etch for GaN/AlGaN Based Power Device Fabrication. 11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology & Science (ISPlasma2019 / IC-PLANTS2019), Nagoya, Japan, 17-21 March 2019.

McGhee, J., Moran, D. A. and Georgiev, V. P. (2019) Simulations of Surface Transfer Doping of Hydrogenated Diamond by MoO3 Metal Oxide. In: 2019 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Grenoble, France, 01-03 Apr 2019, ISBN 9781728116587 (doi: 10.1109/EUROSOI-ULIS45800.2019.9041887)

2018

Macdonald, D. A., Crawford, K. G., Tallaire, A., Issaoui, R. and Moran, D. A.J. (2018) Performance enhancement of Al2O3/H-diamond MOSFETs utilizing vacuum annealing and V2O5 as a surface electron acceptor. IEEE Electron Device Letters, 39(9), pp. 1354-1357. (doi: 10.1109/LED.2018.2856920)

Crawford, K. G. , Tallaire, A., Li, X. , Macdonald, D. A., Qi, D. and Moran, D. A.J. (2018) The role of hydrogen plasma power on surface roughness and carrier transport in transfer-doped H-diamond. Diamond and Related Materials, 84, pp. 48-54. (doi: 10.1016/j.diamond.2018.03.005)

Li, X. , Zhou, H., Hemakumara, D., Cho, S.-J., Floros, K., Moran, D. and Thayne, I. (2018) A Study of In-Situ Auger Spectroscopic Surface Analysis in Development of Atomic Layer Etch for GaN/AlGaN Based Power Device Fabrication. 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma-Nano Technology & Science (ISPlasma2018 / IC-PLANTS2018), Nagoya, Japan, 4-8 March 2018.

Crawford, K. G. , Qi, D., McGlynn, J., Ivanov, T. G., Shah, P. B., Weil, J., Tallaire, A., Ganin, A. Y. and Moran, D. A.J. (2018) Thermally stable, high performance transfer doping of diamond using transition metal oxides. Scientific Reports, 8, 3342. (doi: 10.1038/s41598-018-21579-4) (PMID:29463823) (PMCID:PMC5820251)

Li, X. , Cho, S.-J., Floros, K., Hemakumara, D., Zhou, H., Guiney, I., Moran, D. , Humphreys, C. and Thayne, I.G. (2018) In-situ Auger Spectroscopy Analysis of an Atomic Layer Etching Process for GaN/AlGaN-based Power Device Fabrication. UKNC Winter Conference 2018, Manchester, UK, 10-11 Jan 2018.

Li, X. , Zhou, H., Flores, K., Cho, S.-J., Hemakumara, D., Moran, D. and Thayne, I. (2018) Optimization of Atomic Layer Etch Process for Fabrication of Dual Barrier GaN-Based Power Device Using In-Situ Auger Spectrometric Surface Analysis. AVS 18th International Conference on Atomic Layer Deposition (ALD 2018) and the 5th International Atomic Layer Etching Workshop (ALE 2018), Incheon, South Korea, 29 Jul-1 Aug 2018.

2017

Salter, P. S., Booth, M. J., Courvoisier, A., Moran, D. A.J. and MacLaren, D. A. (2017) High resolution structural characterisation of laser-induced defect clusters inside diamond. Applied Physics Letters, 111(8), 081103. (doi: 10.1063/1.4993118)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Hemakumara, D., Wallis, D. J., Wasige, E. , Moran, D. A.J. , Humphreys, C. J. and Thayne, I. G. (2017) Dual barrier InAlN/AlGaN/GaN-on-silicon high-electron-mobility transistors with Pt and Ni based gate stacks. Physica Status Solidi A: Applications and Materials Science, 214(8), 1600835. (doi: 10.1002/pssa.201600835)

Hemakumara, D., Li, X. , Floros, K., Cho, S., Guiney, I., Moran, D. , Humphreys, C., O'Mahony, A., Knoops, H. and Thayne, I. G. (2017) 4x Reduction in Gan MOSCAP Flatband Voltage Hysteresis with an In-situ Deposited Sin Cap and Device Processing in a Cluster Tool. 12th International Conference on Nitride Semiconductors, Strasbourg, France, 24-28 July 2017.

Hemakumara, D., Li, X. , Cho, S., Floros, K., Guiney, I., Moran, D. , Humphreys, C., O'Mahony, A., Knoops, H. and Thayne, I.G. (2017) The Impact on GaN MOS Capacitor Performance of In‐situ Processing in a Clustered ALD/ICP/RIE Tool. AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Etching Workshop (ALE 2017), Denver, CO, USA, 15-18 Jul 2017.

Li, X. et al. (2017) Atomic layer etch processes developed in an ICP/RIE etching system for etching III-V compound semiconductor materials. AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Etching Workshop (ALE 2017), Denver, CO, USA, 15-18 Jul 2017.

Li, X. , Floros, K., Cho, S.-J., Hemakumara, D., Moran, D. and Thayne, I. (2017) Damage to Algan/Gan Power Device Materials from Cl2 and Ar Plasma Based Atomic Layer Etching and its Elimilation via a Low Temperature Rapid Thermal Annealing. 9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma-Nano Technology & Science (ISPlasma2017 / IC-PLANTS2017), Aichi, Japan, 1-5 March 2017.

Roger, I., Moca, R., Miras, H. , Crawford, K. G., Moran, D. A.J. , Ganin, A. Y. and Symes, M. D. (2017) The direct hydrothermal deposition of cobalt-doped MoS2 onto fluorine-doped SnO2 substrates for catalysis of the electrochemical hydrogen evolution reaction. Journal of Materials Chemistry A, 5(4), pp. 1472-1480. (doi: 10.1039/C6TA08287D)

2016

Cho, S.-J., Li, X. , Floros, K., Hamakumara, D., Ignatova, O. , Moran, D. , Humphreys, C.J. and Thayne, I. (2016) Low off-state Leakage Currents in AlGaN/GaN High Electron Mobility Transistors By Employing A Highly Stressed SiNx Surface Passivation Layer. 19th Workshop on Dielectrics in Microelectronics (WoDIM), Aci Castello, Catania, Italy, 27-30 Jun 2016.

Crawford, K., Cao, L., Qi, D., Tallaire, A., Limiti, E., Verona, C., Wee, A. T.S. and Moran, D. (2016) Enhanced surface transfer doping of diamond by V2O5 with improved thermal stability. Applied Physics Letters, 108(4), 042103. (doi: 10.1063/1.4940749)

Li, X. , Floros, K., Cho, S.-J., Hemakumara, D., Guiney, I., Moran, D. and Thayne, I. G. (2016) An Hbr/Ar Atomic Layer Etch Process for Precision Gate Recess Etching of Gan-Based Transistors. UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016.

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Ternent, G., Hemakumara, D., Wasige, E. , Moran, D.A.J. , Humphries, C.J. and Thayne, I.G. (2016) A Dual Barrier InAlN/AlGaN/GaN HEMT on Si Substrate with Pt Based Gates. In: 9th International Workshop on Nitride Semiconductors (IWN 2016), Orlando, FL, USA, 2-7 Oct 2016, (Unpublished)

Floros, K. et al. (2016) Electrical Characterisation of InAlN/AlGaN/GaN HEMT on Si Substrate with Varying InAlN Thickness. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Cho, S.-J., Guiney, I., Moran, D. and Thayne, I. G. (2016) Development of an Atomic Layer Etch Process Via Repeated Cycling of Chloride Formation in Chlorine Gas and its Argon Plasma Removal for Precision Nanometer Scale Thin Layer Etch in GaN-Based Power Device Fabrications. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Cho, S.-J., Guiney, I., Moran, D. and Thayne, I. G. (2016) An Atomic Layer Etch Process Based on a Cycled Procedure of Chlorination in Cl2 and Argon Plasma Removal of Chlorides for GaN Based Device Fabrication. In: 8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science (ISPlasma2016 / IC-PLANTS2016), Nagoya, Japan, 6-10 March 2016,

2015

Russell, S., Sharabi, S., Tallaire, A. and Moran, D. A. J. (2015) RF operation of hydrogen-terminated diamond field effect transistors: a comparative study. IEEE Transactions on Electron Devices, 62(3), pp. 751-756. (doi: 10.1109/TED.2015.2392798)

Cappelluti, F., Ghione, G., Russell, S.A.O., Moran, D.A.J. , Verona, C. and Limiti, E. (2015) Investigating the properties of interfacial layers in planar Schottky contacts on hydrogen-terminated diamond through direct current/small-signal characterization and radial line small-signal modelling. Applied Physics Letters, 106, 103504. (doi: 10.1063/1.4915297)

Crawford, K. G., Qi, D., Tallaire, A., Verona, C., Limiti, E. and Moran, D. A. J. (2015) Investigation into the Efficiency and Stability of Surface-Transfer Doped Hydrogen-Terminated Diamond Using MoO3. In: MRS Fall Meeting: Materials Research Society, Boston MA, USA, 29 Nov - 4 Dec 2015,

Crawford, K. G., Tallaire, A. and Moran, D. A. J. (2015) Impact of ICP Etching on the Formation of Surface Defects on Hydrogen Terminated Diamond. In: MRS Fall Meeting: Materials Research Society, Boston MA, USA, 29 Nov - 4 Dec 2015,

Li, X. , Floros, K., Hemakumara, D., Moran, D. and Thayne, I. G. (2015) Realisation of Low Annealing Temperature and Low Resistance Ohmic Contacts forAlGaN/GaN-Based Power Devices Via SiH4 Inductively Coupled Plasma Treatment. In: UK Semiconductor Conference, Sheffield, UK, 1-2 July 2015,

Macdonald, D. A., Tallaire, A., Verona, C., Limiti, E. and Moran, D. A. J. (2015) Stability of Operation of Atmosphere-Exposed, Hydrogen-Terminated Diamond FETs under Constant Operation. In: MRS Fall Meeting: Materials Research Society, Boston MA, USA, 29 Nov - 4 Dec 2015,

Mcglone, A. W., Williams, O. A. and Moran, D. A. J. (2015) Investigation into the Minimum Feature Size for Reactive-Ion Etched (RIE) Micro and Nano-Scale Polycrystalline Diamond Mechanical Resonators. In: MRS Fall Meeting: Materials Research Society, Boston MA, USA, 29 Nov - 4 Dec 2015,

2014

Camarchia, V., Cappelluti, F., Ghione, G., Limiti, E., Moran, D.A.J. and Pirola, M. (2014) An Overview on Recent Developments in RF and Microwave Power H-Terminated Diamond MESFET Technology. In: 2014 International Workshop on Integrated Nonlinear Microwave and Millimetre-wave Circuits (INMMiC), Leuven, Belgium, 2-4 Apr 2014,

Crawford, K. G., Russell, S. A. O., Qi, D., Cao, L., Tallaire, A., Wee, A. T. S. and Moran, D. A. J. (2014) Temperature Stable Surface Transfer Doping of H-Terminated Diamond via MoO3. In: 23rd European Workshop on Heterostructure Technology, Giessen, Germany, 12-15 Oct 2014,

Crawford, K. G., Russell, S. A. O., Qi, D., Cao, L., Tallaire, A., Wee, A. T. S. and Moran, D. A. J. (2014) Advancing Surface Transfer Doping of Hydrogen-Terminated Diamond via Deposition of MoO3. In: SBDD XIX Diamond Workshop, Hasselt, Belgium, Feb 2014,

Limiti, E. et al. (2014) Fabrication and Performance of Microwave Diamond Devices for Space Applications. In: 7th Wide Band Gap Semiconductor and Components Workshop, Frascati, Italy, 11-12 Sept 2014, 97`-101.

Limiti, E., Ciccognani, W., Verona, C., Ghione, G., Cappelluti, F., Moran, D. , Giovine, E. and Di Pietrantonio, F. (2014) H-Terminated Single-Crystal Diamond Fets for Space Applications. In: 23rd European Workshop on Heterostructure Technology, Giessen, Germany, 12-15 Oct 2014,

Moran, D. A. J. , Crawford, K. G., Russell, S. A. O., Qi, D., Cao, L., Tallaire, A. and Wee, A. T. S. (2014) Advancing Surface Transfer Doping of Hydrogen-Terminated Diamond via Deposition of MoO3. In: UK Diamond Research Conference, Warwick, UK, July 2014,

Russell, S. A. O., Moran, D. A. J. , Verona, C., Limiti, E., Cappelluti, F., Ghione, G. and Barnes, A. (2014) Single-Crystal Diamond Microwave Devices for Space Applications. In: 2014 9th European Microwave Integrated Circuit Conference (EuMIC), Rome, Italy, 6-7 Oct. 2014, pp. 154-157. ISBN 9782874870361 (doi: 10.1109/EuMIC.2014.6997815)

2013

Greer, A. I.M. , Seunarine, K., Khokhar, A. Z., MacLaren, I. , Brydone, A. S., Moran, D. A.J. and Gadegaard, N. (2013) Increased efficiency of direct nanoimprinting on planar and curved bulk titanium through surface modification. Microelectronic Engineering, 112, pp. 67-73. (doi: 10.1016/j.mee.2013.05.016)

Crawford, K. G., Russell, S. A. O., Qi, D., Cao, L., Tallaire, A., Wee, A. T. S. and Moran, D. A. J. (2013) Advancing Surface Transfer Doping of Hydrogen Terminated Diamond via Inorganic Materials. In: 22nd European Workshop on Heterostructure Technology (HETECH), Glasgow, UK, 9-11 Sep 2013,

Moran, D. A. J. (2013) Diamond - The Ultimate Material for Future High Power Electronics? In: CMOS Emerging Technologies Research Symposium, Whistler, Canada, 17-19 July 2013,

Moran, D. A. J. , Russell, S., Sharabi, S., Tallaire, A., Qi, D. and Wee, A. (2013) Recent Advances in Hydrogen-terminated Diamond FET Technology. In: UK Semiconductors 2013, Sheffield, UK, 3 - 4 Jul 2013,

Russell, S. A. O., Cao, L., Qi, D., Tallaire, A., Crawford, K. G., Wee, A. T. S. and Moran, D. A. J. (2013) Surface transfer doping of diamond by MoO3: a combined spectroscopic and Hall measurement study. Applied Physics Letters, 103(20), p. 202112. (doi: 10.1063/1.4832455)

Russell, S., Sharabi, S., Tallaire, A., Qi, D., Wee, A. and Moran, D. A. J. (2013) Recent Progress and Future Challenges in Hydrogen-Terminated Diamond Field Effect Transistor Technology. In: SBDD XVIII: Diamond Workshop, Hasselt, Belgium, Feb 2013,

2012

Russell, S., Sharabi, S., Tallaire, A. and Moran, D. (2012) Hydrogen-terminated diamond field-effect transistors with cutoff frequency of 53 GHz. IEEE Electron Device Letters, 33(10), pp. 1471-1473. (doi: 10.1109/LED.2012.2210020)

Greer, A.I.M. and Moran, D.A.J. (2012) Charge dissipation layer optimisation for nano-scale electron-beam lithography pattern definition onto diamond. Diamond and Related Materials, 29, pp. 13-17. (doi: 10.1016/j.diamond.2012.07.003)

Greer, A. I. M. and Moran, D. A. J. (2012) The Effect of Charge Dissipation Layer Thickness on E-Beam Feature Size for Polycrystalline Diamond. In: SBDD XVII Diamond Workshop, Hasselt, Belgium, March 2012,

Greer, A. I. M. , Seunarine, K., Khokhar, A. Z., Li, X. , Moran, D. A. J. and Gadegaard, N. (2012) Direct Nano-Patterning of Commercially Pure Titanium with Ultra-Nanocrystalline Diamond Stamps. In: SBDD XVII Diamond Workshop, Hasselt, Belgium, March 2012,

Greer, A.I.M. , Seunarine, K., Khokhar, A., Li, X. , Moran, D. and Gadegaard, N. (2012) Direct nanopatterning of commercially pure titanium with ultra-nanocrystalline diamond stamps. Physica Status Solidi A: Applications and Materials Science, 209(9), pp. 1721-1725. (doi: 10.1002/pssa.201200057)

Moran, D. A. J. (2012) Surface Channel Diamond Field Effect Transistors: Recent Progress and Future Challenges. In: Materials for Tomorrow Workshop, Biopolis, Singapore, 6-7 Dec 2012,

Moran, D. A. J. , Russell, S. A. O., Sharabi, S. and Tallaire, A. (2012) High frequency hydrogen-terminated diamond field effect transistor technology. In: 12th IEEE International Conference on Nanotechnology (IEEE-NANO), Birmingham UK, 20-23 Aug 2012, pp. 1-5. ISBN 9781467321983 (doi: 10.1109/NANO.2012.6321925)

Russell, S. A. O., Sharabi, S., MacFarlane, D., Caterino, R., Garrido, J. A. and Moran, D. A. J. (2012) A Comparison Between De-Embedding Strategies for the Extraction of the RF Performance of Hydrogen-Terminated Diamond FETs. In: SBDD XVII Diamond Workshop, Hasselt, Belgium, March 2012,

2011

Moran, D.A.J. , MacLaren, D. A. , Porro, S., McLelland, H., John, P. and Wilson, J.I.B. (2011) Processing of 50 nm gate-length hydrogen terminated diamond FETs for high frequency and high power applications. Microelectronic Engineering, 88(8), pp. 2691-2693. (doi: 10.1016/j.mee.2010.11.029)

Moran, D.A.J. , Fox, O.J.L., McLelland, H., Russell, S. and May, P.W. (2011) Scaling of hydrogen-terminated diamond FETs to Sub-100-nm gate dimensions. IEEE Electron Device Letters, 32(5), pp. 599-601. (doi: 10.1109/LED.2011.2114871)

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Short Gate Length Surface-Channel Diamond Transistors. In: HeTech European Workshop, Lille, France, Nov 2011,

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Intrinsic DC Operation and Performance Potential of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors. In: 69th Annual Device Research Conference (DRC), Santa Barbara, CA, USA, 20-22 June 2011, pp. 137-138. ISBN 9781612842431 (doi: 10.1109/DRC.2011.5994454)

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Intrinsic DC Operation and Performance Potential of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors. In: UK Diamond Research Conference, Warwick, UK, July 2011, (doi: 10.1109/DRC.2011.5994454)

2010

Moran, D. , MacLaren, D. A. , Porro, S., Hill, R., McLelland, H., John, P. and Wilson, J.I.B. (2010) Development and operation of 50nm gate length hydrogen terminated diamond field effect transistors. In: UK Diamond Research Conference, Warwick, UK, July 2010,

Moran, D. , MacLaren, D. A. , Porro, S., McLelland, H., John, P. and Wilson, J.I.B. (2010) Processing of 50nm gate-length hydrogen terminated diamond FETs for high frequency and high power applications. In: Micro and Nano Engineering Conference, Genoa, Italy, 19-22 Sep 2010,

Moran, D. A. J. , MacLaren, D. A. , Porro, S., Hill, R., McLelland, H., John, P. and Wilson, J. I. B. (2010) Characterisation and Inspection of 50nm Gate-Length Hydrogen Terminated Diamond Field Effect Transistors. In: MRS Fall Meering, Boston MA, USA, 29 Nov - 3 Dec 2010,

2009

Hill, R.J.W. et al. (2009) Deep sub-micron and self-aligned flatband III–V MOSFETs. In: Device Research Conference, 2009 (DRC 2009), University Park, PA, USA, 22-24 Jun 2009, pp. 251-252. (doi: 10.1109/DRC.2009.5354900)

Bentley, S., Li, X. , Moran, D.A.J. and Thayne, I.G. (2009) Two methods of realising 10 nm T-gate lithography. Microelectronic Engineering, 86(4-6), pp. 1067-1070. (doi: 10.1016/j.mee.2008.12.029)

Freescale Semiconductor, Inc. (2009) III-V MOSFET Fabrication and Device (Fabrication process of e.g. group III-V MOSFET for nano complementary metal oxide semiconductor application, involves heat treating metal contact structure to produce alloy region within semiconductor substrate). .

Longo, P., Craven, A.J., Holland, M.C., Moran, D.A.J. and Thayne, I.G. (2009) A nanoanalytical investigation of high-k dielectric gate stacks for GaAs based MOSFET devices. Microelectronic Engineering, 86(3), pp. 214-217. (doi: 10.1016/j.mee.2008.08.013)

Moran, D. A. J. , Porro, S., MacLaren, D. , Hill, R. J. and Wilson, J. I. B. (2009) Sub-100nm Gate-Length Hydrogenated Diamond FETs. In: UK Semiconductors 2009, Sheffield, UK, 1-2 July 2009,

2008

Hill, R., Moran, D. , Li, X. , Macintyre, D.S., Thoms, S. , Asenov, A. , Droopad, R., Passlack, M. and Thayne, I. (2008) III-V MOSFETs: a possible solution for sub-22 nm CMOS nFETs. In: 17th European Heterostructure Technology Workshop, Venice, Italy, Nov 2008,

Hill, R.J.W. et al. (2008) 1 μm gate length, In0.75Ga0.25As channel, thin body n-MOSFET on InP substrate with transconductance of 737μS/μm. Electronics Letters, 44, pp. 498-500. (doi: 10.1049/el:20080470)

Bentley, S., Li, X. , Moran, D. A. J. and Thayne, I. G. (2008) Fabrication of 22 nm T-gates for HEMT applications. Microelectronic Engineering, 85(5-6), pp. 1375-1378. (doi: 10.1016/j.mee.2008.01.058)

Hill, R.J.W., Moran, D.A.J. , Li, X. , Zhou, H., Macintyre, D.S., Thoms, S. , Asenov, A. and Thayne, I.G. (2008) Ino.75Gao.25As channel III–V MOSFETs with leading performance metrics. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418447)

2007

Hill, R.J.W. et al. (2007) Enhancement-mode GaAs MOSFETs with an In0.3 Ga0.7As channel, a mobility of over 5000 cm2/V ·s, and transconductance of over 475 μS/μm. IEEE Electron Device Letters, 28(12), pp. 1080-1082. (doi: 10.1109/LED.2007.910009)

Holland, M., Stanley, C.R., Reid, W., Hill, R.J.W., Moran, D.A.J., Thayne, I., Paterson, G.W. and Long, A.R. (2007) Ga2O3 grown on GaAs by molecular beam epitaxy for metal oxide semiconductor field effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 25(5), pp. 1706-1710. (doi: 10.1116/1.2778690)

Hill, R.J.W., Moran, D.A.J., Li, X. , Zhou, H., Macintyre, D., Thoms, S., Droopad, R., Passlack, M. and Thayne, I.G. (2007) 180nm metal gate, high-k dielectric, implant-free III--V MOSFETs with transconductance of over 425 μS/μm. Electronics Letters, 43, pp. 543-545. (doi: 10.1049/el:20070427)

Passlack, M. et al. (2007) High mobility III-V MOSFETs for RF and digital applications. In: IEEE International Electron Devices Meeting (IEDM 2007), Washington DC, USA, 10-12 December 2007, pp. 621-624. ISBN 9781424415083 (doi: 10.1109/IEDM.2007.4419016)

Kalna, K., Wilson, J.A., Moran, D.A.J., Hill, R.J.W., Long, A.R., Droopad, R., Passlack, M., Thayne, I.G. and Asenov, A. (2007) Monte Carlo simulations of high-performance implant free In0.3Ga0.7 nano-MOSFETs for low-power CMOS applications. IEEE Transactions on Nanotechnology, 6(1), pp. 106-112. (doi: 10.1109/TNANO.2006.888543)

Hill, R. J. W., Holland, M., Li, X. , Macintyre, D., Moran, D. , Stanley, C. R., Thoms, S. , Zhou, H. and Thayne, I. G. (2007) Recent Developments in III-V MOSFETs Technology. In: 15th International Symposium Nanostructures: Physics and Technology, Novosibirsk, Russia, 25-29 June 2007, pp. 134-136. ISBN 9785936340222

Hill, R.J.W., Holland, M.C., Li, X. , Macintyre, D.S., Moran, D.A.J. , Stanley, C.R., Thoms, S. and Thayne, I.G. (2007) Enhancement Mode, Implant Free, Metal Gate, High-K Dielectric, III-V MOSFETs. In: 2007 8th European Workshop on Ultimate Integration of Silicon (ULIS), Leuven, Belgium, 15-16 Mar 2007, pp. 129-132.

Moran, D. A. J. et al. (2007) III-V Enhancement Mode MOSFETs for Digital Applications. In: IBM MRC Oxide Workshop, Zurich, Switzerland, 25-27 June 2007,

Moran, D. A. J. et al. (2007) High Performance Enhancement-Mode III-V MOSFETs. In: UK Compound Semiconductor Conference 2007, Sheffield, UK, 2007,

Moran, D.A.J. et al. (2007) High Performance Enhancement Mode III-V MOSFETs. IBM Workshop on Advanced Oxides, Zurich, Switzerland, June 2007.

Moran, D.A.J. et al. (2007) Sub-micron, Metal Gate, High-к Dielectric, Implant-free, Enhancement-mode III-V MOSFETs. In: 37th European Solid State Device Research Conference (ESSDERC 2007), Munich, Germany, 11-13 September 2007, pp. 466-469. ISBN 9781424411245 (doi: 10.1109/ESSDERC.2007.4430979)

Passlack, M. et al. (2007) High mobility III-V MOSFET Technology. In: CS MANTECH Conference, Austin, TX, USA, 14-17 May 2007,

Passlack, M. et al. (2007) High Mobility III-V MOSFET Technology. In: 7th Topical Workshop on Heterostructure Microelectronics (TWHM 2007), Chiba, Japan, 21-24 Aug 2007,

Rajagopalan, K. et al. (2007) Enhancement Mode n-MOSFET with High-κ Dielectric on GaAs Substrate. In: IEEE 65th Annual Device Research Conference, South Bend, Indiana, USA, 18-20 June 2007, pp. 205-206. ISBN 9781424411023 (doi: 10.1109/DRC.2007.4373719)

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2007) 50 nm metamorphic GaAs and InPHEMTs. Thin Solid Films, 515, pp. 4373-4377. (doi: 10.1016/j.tsf.2006.07.104)

Thayne, I. G. et al. (2007) High Performance Enhancement Mode III-V MOSFETs for Silicon Co-Integration. In: Silicon Nanoelectronics Workshop, Kyoto, Japan, 10-11 June 2007,

Thayne, I.G. et al. (2007) Recent Progress in III-V MOSFETs. In: UK Condensed Matter and Material Physics Conference, Leicester, UK, April 2007,

2006

Paterson, G.W. , Wilson, J.A., Moran, D. , Hill, R., Long, A.R., Thayne, I. , Passlack, M. and Droopad, R. (2006) Gallium oxide (Ga2O3)on gallium arsenide - A low defect, high-K system for future devices. Materials Science and Engineering B: Solid-State Materials for Advanced Technology, 135(3), pp. 277-281. (doi: 10.1016/j.mseb.2006.08.026)

Moran, D.A.J., McLelland, H., Elgaid, K., Whyte, G., Stanley, C.R. and Thayne, I. (2006) 50-nm self-aligned and 'standard' T-gate InP pHEMT comparison: the influence of parasitics on performance at the 50-nm node. IEEE Transactions on Electron Devices, 53(12), pp. 2920-2925. (doi: 10.1109/TED.2006.885674)

Elgaid, K., Holland, M., McLelland, H., Moran, D., Thoms, S., Stanley, C. and Thayne, I. (2006) 50nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: Indium Phosphide & Related Materials, Princeton, USA, Paper TuB2.

Hill, R.J.W., Li, X. , Moran, D.A.J. , Zhou, H. and Thayne, I.G. (2006) A Low Damage Subtractive Ohmic Contact Process for III-V Mosfets. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Kalna, K., Hill, R., Wilson, J., Moran, D., Long, A., Asenov, A. and Thayne, I. (2006) Monte Carlo simulation of sub-30 nm high indium implant free III-V MOSFETs for low power digital applications. In: UK III-V Compound Semiconductors 2006, Sheffield, UK, D-0-3.

Kalna, K., Wilson, J., Moran, D., Hill, R., Long, A., Droopad, R., Passlack, M., Thayne, I. and Asenov, A. (2006) MC simulation of high performance InGaAs nano-MOSFETs for low power CMOS applications. In: IEEE 2006 Silicon Nanoelectronics Workshop, Honolulu, p. 13.

Longo, P., Scott, J., Hill, R., Moran, D., Craven, A. and Thayne, I. (2006) Elemental mapping of III-V MOSFET structures using energy filtered transmission electron microscopy. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Moran, D., McLelland, H., Elgaid, K., Stanley, C. and Thayne, I. (2006) Scaling of self-aligned T-gate InGaAs/InAlAs HEMT technology. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Thayne, I., Elgaid, K., Holland, M., McLelland, H., Moran, D.A.J., Thoms, S. and Stanley, C. (2006) 50 nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: 2006 International Conference on Indium Phosphide and Related Materials, Princeton, New Jersey, USA, 7-11 May 2006, pp. 181-184. ISBN 0780395581 (doi: 10.1109/ICIPRM.2006.1634143)

Thayne, I.G. et al. (2006) III-V MOSFETs for Digital Applications: An Overview. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Wilson, J., Paterson, G., Moran, D., Hill, R., Thayne, I. and Long, A. (2006) III-V MOS systems - charge control and transport. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

2005

Elgaid, K., McLelland, H., Holland, M., Moran, D.A.J., Stanley, C.R. and Thayne, I.G. (2005) 50-nm T-gate metamorphic GaAs HEMTs with fT of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26(11), pp. 784-786. (doi: 10.1109/LED.2005.857716)

Elgaid, K., McLelland, H., Holland, M., Moran, D., Stanley, C. and Thayne, I. (2005) 50-nm T-gate metamorphic GaAs HEMTs with f(T) of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26, pp. 784-786. (doi: 10.1109/LED.2005.857716)

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I. (2005) Low noise high performance 50nm T-GATE metamorphic HEMT with cut-off frequency FTOF 440Ghz for millimeterwave imaging receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 141-143. ISBN 1092-8669

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I.G. (2005) Low noise high performance 50nm T-gate metamorphic HEMT with cut-off frequency fT of 440 GHz for millimeterwave imaging receivers applications. In: IEEE International Conference on Indium Phosphide and Related Materials, 2005, Glasgow, Scotland, 8-12 May 2005, pp. 141-143. ISBN 0780388917 (doi: 10.1109/ICIPRM.2005.1517439)

Moran, D., Cao, X., Elgaid, K., Boyd, E., Chen, Y., Thoms, S., McLelland, H., Stanley, C., Holland, M. and Thayne, I. (2005) Sub 100nm III-V HEMT technology: Approaching the Terahertz Regime. In: International Workshop on Terahertz Technology, Osaka, Japan,

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

2004

Boyd, E., Thoms, S., Moran, D., Elgaid, K., Cao, X., Holland, M., Stanley, C. and Thayne, I. (2004) Fabrication of very high performance 50nm T-gate metamorphic GaAs HEMT's with exceptional uniformity. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Boyd, E., Zhou, H., McLelland, H., Moran, D.A.J., Thoms, S. and Thayne, I.G. (2004) Fabrication of 30nm T-gate high electron mobility transistors using a bi-Layer of PMMA and UVIII. In: 2004 IEEE Conference on Optoelectronic and Microelectronic Materials and Devices, Brisbane, Australia, 8-10 December 2004, pp. 25-28. ISBN 0780388208 (doi: 10.1109/COMMAD.2004.1577483)

Elgaid, K., McLelland, H., Cao, X., Boyd, E., Moran, D., Thoms, S., Zhou, H., Wilkinson, C., Stanley, C. and Thayne, I. (2004) An array-based design methodology for the realisation of 94GHz MMMIC amplifiers. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Moran, D.A.J., Boyd, E., Elgaid, K., McEwan, F., McLelland, H., Stanley, C.R. and Thayne, I.G. (2004) Self-aligned T-gate InP HEMT realisation through double delta doping and a non-annealed ohmic process. Microelectronic Engineering, 73-74, pp. 814-817. (doi: 10.1016/j.mee.2004.03.057)

Moran, D.A.J., Boyd, E., McEwan, F., McLelland, H., Stanley, C.R. and Thayne, I.G. (2004) Sub 100nm T-Gate uniformity in InP HEMT technology. In: International Conference on Compound Semiconductor Manufacturing Technology, Miami, Florida, USA, 3-6 May 2004,

Moran, D., Boyd, E., Elgaid, K., McEwan, F., McLelland, H., Stanley, C. and Thayne, I. (2004) Self-aligned T-gate InPHEMT realisation through double delta doping and a non-annealed ohmic process. Microelectronic Engineering, 73-4, pp. 814-817. (doi: 10.1016/j.mee.2004.03.057)

Moran, D., Boyd, E., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) 50nm T-gate lattice-matched InP HEMTs with fT of 430GHz using non-annealed ohmic contact process. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Thayne, I., Cao, X., Moran, D.A.J., Boyd, E., Elgaid, K., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2004) Very high performance 50 nm T-gate III-V HEMTs enabled by robust nanofabrication technologies. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany, 16-19 August 2004, pp. 95-97. ISBN 0780385365 (doi: 10.1109/NANO.2004.1392261)

Thoms, S. , Macintyre, D.S., Moran, D. and Thayne, I. (2004) Imprint lithography issues in the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 22(6), pp. 3271-3274. (doi: 10.1116/1.1821504)

2003

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of ultrashort T gates using a PMMA/LOR/UVIII resist stack. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 3012-3016. (doi: 10.1116/1.1629292)

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D.S., Thoms, S., Stanley, C.R. and Thayne, I.G. (2003) Novel technologies for the realisation of GaAs pHEMTs with 120 nm self-aligned and nanoimprinted T-gates. Microelectronic Engineering, 67-89, pp. 769-774. (doi: 10.1016/S0167-9317(03)00137-0)

Boyd, E., Moran, D., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thorns, S., Stanley, C. and Thayne, L. (2003) 120 nm gate length E-beam and nanoimprint T-gate GaAs pHEMTs utilising non-annealed ohmic contacts. In: Compound Semiconductors 2002: Proceedings of the 29th International Symposium, Lausanne, 7-11 October 2002. Series: Institute of Physics conference series (174). Institute of Physics, pp. 291-294. ISBN 0750309423

Chen, Y., Macintyre, D., Boyd, E., Moran, D., Thayne, I. and Thoms, S. (2003) High electron mobility transistors fabricated by nanoimprint lithography. Microelectronic Engineering, 67-8, pp. 189-195. (doi: 10.1016/S0167-9317(03)00183-7)

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of Ultrashort T Gates Using a PMMA/LOR/UVIII Resist Stack. In: - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA, 27-30 May 2003,

Macintyre, D.S., Chen, Y., Gourlay, D., Boyd, E., Moran, D. , Cao, X., Elgaid, K., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Nanoimprint lithography process optimization for the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 2783-2787. (doi: 10.1116/1.1629719)

Moran, D. A. J. , Kalna, K., Boyd, E., McEwan, F., McLelland, H., Zhuang, L. L., Stanley, C. R., Asenov, A. and Thayne, I. (2003) Self-aligned 0.12mm T-gate In.53Ga.47As/In.52Al.48As HEMT Technology Utilising a Non Annealed Ohmic Contact Strategy. In: ESSDERC '03 : 33rd Conference on European Solid-State Device Research, Estoril, Portugal, 16-18 September 2003, pp. 315-318. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256877)

Thayne, I. et al. (2003) Advanced III-V HEMT MMIC Technologies for Millemeter-Wave Applications. In: European Microwave Week 2003, Munich, Germany, 6-10 Oct 2003,

2002

Boyd, E., Moran, D. , McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S. , Stanley, C. R. and Thayne, I. G. (2002) 120nm Gate Length E-Beam and Nanoimprint T-Gate GaAs pHEMTs Utilizing Non-Annealed Ohmic Contacts. In: Compound Semiconductors 2002, Lausanne, Switzerland, 7-10 Oct 2002, ISBN 9780750309424

Chen, Y., Macintyre, D., Boyd, E., Moran, D. , Thayne, I. and Thoms, S. (2002) Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 20(6), pp. 2887-2890. (doi: 10.1116/1.1520564)

Edgar, D. L. et al. (2002) Millimetre-wave Performance of InAlAs/InGaAs HEMTs Using a UVIII/PMMA Bilayer for 70nm T-Gate Fabrication. In: European Microwave Week 2002, Milan, Italy, 23-27 Sept 2002,

This list was generated on Thu Apr 18 05:07:39 2024 BST.
Number of items: 128.

Articles

Samuel, A. K., Faqeeh, A. H., Li, W., Ertekin, Z. , Wang, Y., Zhang, J., Gadegaard, N. , Moran, D. A.J. , Symes, M. D. and Ganin, A. Y. (2024) Assessing challenges of 2D-molybdenum ditelluride for efficient hydrogen generation in a full-scale proton exchange membrane (PEM) water electrolyzer. ACS Sustainable Chemistry and Engineering, 12(3), pp. 1276-1285. (doi: 10.1021/acssuschemeng.3c06616)

Sun, Y., Sviridova, E., Kamp, M., Zhang, J., Kienle, L., Moran, D. A.J. , Guselnikova, O. and Ganin, A. Y. (2023) Elucidating catalytic sites governing the performance toward the hydrogen evolution reaction in ternary nitride electrocatalysts. ACS Applied Energy Materials, 6(3), pp. 1265-1273. (doi: 10.1021/acsaem.2c02941)

Crawford, K. G. , Grant, J. , Hemakumara, D. T., Li, X. , Thayne, I. and Moran, D. A.J. (2022) High synergy atomic layer etching of AlGaN/GaN with HBr and Ar. Journal of Vacuum Science and Technology A: Vacuum, Surfaces, and Films, 40(4), 042601. (doi: 10.1116/6.0001862)

Crawford, K. G. , Maini, I., Macdonald, D. A. and Moran, D. A.J. (2021) Surface transfer doping of diamond: a review. Progress in Surface Science, 96(1), 100613. (doi: 10.1016/j.progsurf.2021.100613)

Fraser, J. P. et al. (2020) Selective phase growth and precise-layer control in MoTe2. Communications Materials, 1, 48. (doi: 10.1038/s43246-020-00048-4)

Macdonald, D. A., Crawford, K. G., Tallaire, A., Issaoui, R. and Moran, D. A.J. (2018) Performance enhancement of Al2O3/H-diamond MOSFETs utilizing vacuum annealing and V2O5 as a surface electron acceptor. IEEE Electron Device Letters, 39(9), pp. 1354-1357. (doi: 10.1109/LED.2018.2856920)

Crawford, K. G. , Tallaire, A., Li, X. , Macdonald, D. A., Qi, D. and Moran, D. A.J. (2018) The role of hydrogen plasma power on surface roughness and carrier transport in transfer-doped H-diamond. Diamond and Related Materials, 84, pp. 48-54. (doi: 10.1016/j.diamond.2018.03.005)

Crawford, K. G. , Qi, D., McGlynn, J., Ivanov, T. G., Shah, P. B., Weil, J., Tallaire, A., Ganin, A. Y. and Moran, D. A.J. (2018) Thermally stable, high performance transfer doping of diamond using transition metal oxides. Scientific Reports, 8, 3342. (doi: 10.1038/s41598-018-21579-4) (PMID:29463823) (PMCID:PMC5820251)

Salter, P. S., Booth, M. J., Courvoisier, A., Moran, D. A.J. and MacLaren, D. A. (2017) High resolution structural characterisation of laser-induced defect clusters inside diamond. Applied Physics Letters, 111(8), 081103. (doi: 10.1063/1.4993118)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Hemakumara, D., Wallis, D. J., Wasige, E. , Moran, D. A.J. , Humphreys, C. J. and Thayne, I. G. (2017) Dual barrier InAlN/AlGaN/GaN-on-silicon high-electron-mobility transistors with Pt and Ni based gate stacks. Physica Status Solidi A: Applications and Materials Science, 214(8), 1600835. (doi: 10.1002/pssa.201600835)

Roger, I., Moca, R., Miras, H. , Crawford, K. G., Moran, D. A.J. , Ganin, A. Y. and Symes, M. D. (2017) The direct hydrothermal deposition of cobalt-doped MoS2 onto fluorine-doped SnO2 substrates for catalysis of the electrochemical hydrogen evolution reaction. Journal of Materials Chemistry A, 5(4), pp. 1472-1480. (doi: 10.1039/C6TA08287D)

Crawford, K., Cao, L., Qi, D., Tallaire, A., Limiti, E., Verona, C., Wee, A. T.S. and Moran, D. (2016) Enhanced surface transfer doping of diamond by V2O5 with improved thermal stability. Applied Physics Letters, 108(4), 042103. (doi: 10.1063/1.4940749)

Russell, S., Sharabi, S., Tallaire, A. and Moran, D. A. J. (2015) RF operation of hydrogen-terminated diamond field effect transistors: a comparative study. IEEE Transactions on Electron Devices, 62(3), pp. 751-756. (doi: 10.1109/TED.2015.2392798)

Cappelluti, F., Ghione, G., Russell, S.A.O., Moran, D.A.J. , Verona, C. and Limiti, E. (2015) Investigating the properties of interfacial layers in planar Schottky contacts on hydrogen-terminated diamond through direct current/small-signal characterization and radial line small-signal modelling. Applied Physics Letters, 106, 103504. (doi: 10.1063/1.4915297)

Greer, A. I.M. , Seunarine, K., Khokhar, A. Z., MacLaren, I. , Brydone, A. S., Moran, D. A.J. and Gadegaard, N. (2013) Increased efficiency of direct nanoimprinting on planar and curved bulk titanium through surface modification. Microelectronic Engineering, 112, pp. 67-73. (doi: 10.1016/j.mee.2013.05.016)

Russell, S. A. O., Cao, L., Qi, D., Tallaire, A., Crawford, K. G., Wee, A. T. S. and Moran, D. A. J. (2013) Surface transfer doping of diamond by MoO3: a combined spectroscopic and Hall measurement study. Applied Physics Letters, 103(20), p. 202112. (doi: 10.1063/1.4832455)

Russell, S., Sharabi, S., Tallaire, A. and Moran, D. (2012) Hydrogen-terminated diamond field-effect transistors with cutoff frequency of 53 GHz. IEEE Electron Device Letters, 33(10), pp. 1471-1473. (doi: 10.1109/LED.2012.2210020)

Greer, A.I.M. and Moran, D.A.J. (2012) Charge dissipation layer optimisation for nano-scale electron-beam lithography pattern definition onto diamond. Diamond and Related Materials, 29, pp. 13-17. (doi: 10.1016/j.diamond.2012.07.003)

Greer, A.I.M. , Seunarine, K., Khokhar, A., Li, X. , Moran, D. and Gadegaard, N. (2012) Direct nanopatterning of commercially pure titanium with ultra-nanocrystalline diamond stamps. Physica Status Solidi A: Applications and Materials Science, 209(9), pp. 1721-1725. (doi: 10.1002/pssa.201200057)

Moran, D.A.J. , MacLaren, D. A. , Porro, S., McLelland, H., John, P. and Wilson, J.I.B. (2011) Processing of 50 nm gate-length hydrogen terminated diamond FETs for high frequency and high power applications. Microelectronic Engineering, 88(8), pp. 2691-2693. (doi: 10.1016/j.mee.2010.11.029)

Moran, D.A.J. , Fox, O.J.L., McLelland, H., Russell, S. and May, P.W. (2011) Scaling of hydrogen-terminated diamond FETs to Sub-100-nm gate dimensions. IEEE Electron Device Letters, 32(5), pp. 599-601. (doi: 10.1109/LED.2011.2114871)

Bentley, S., Li, X. , Moran, D.A.J. and Thayne, I.G. (2009) Two methods of realising 10 nm T-gate lithography. Microelectronic Engineering, 86(4-6), pp. 1067-1070. (doi: 10.1016/j.mee.2008.12.029)

Longo, P., Craven, A.J., Holland, M.C., Moran, D.A.J. and Thayne, I.G. (2009) A nanoanalytical investigation of high-k dielectric gate stacks for GaAs based MOSFET devices. Microelectronic Engineering, 86(3), pp. 214-217. (doi: 10.1016/j.mee.2008.08.013)

Hill, R.J.W. et al. (2008) 1 μm gate length, In0.75Ga0.25As channel, thin body n-MOSFET on InP substrate with transconductance of 737μS/μm. Electronics Letters, 44, pp. 498-500. (doi: 10.1049/el:20080470)

Bentley, S., Li, X. , Moran, D. A. J. and Thayne, I. G. (2008) Fabrication of 22 nm T-gates for HEMT applications. Microelectronic Engineering, 85(5-6), pp. 1375-1378. (doi: 10.1016/j.mee.2008.01.058)

Hill, R.J.W. et al. (2007) Enhancement-mode GaAs MOSFETs with an In0.3 Ga0.7As channel, a mobility of over 5000 cm2/V ·s, and transconductance of over 475 μS/μm. IEEE Electron Device Letters, 28(12), pp. 1080-1082. (doi: 10.1109/LED.2007.910009)

Holland, M., Stanley, C.R., Reid, W., Hill, R.J.W., Moran, D.A.J., Thayne, I., Paterson, G.W. and Long, A.R. (2007) Ga2O3 grown on GaAs by molecular beam epitaxy for metal oxide semiconductor field effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 25(5), pp. 1706-1710. (doi: 10.1116/1.2778690)

Hill, R.J.W., Moran, D.A.J., Li, X. , Zhou, H., Macintyre, D., Thoms, S., Droopad, R., Passlack, M. and Thayne, I.G. (2007) 180nm metal gate, high-k dielectric, implant-free III--V MOSFETs with transconductance of over 425 μS/μm. Electronics Letters, 43, pp. 543-545. (doi: 10.1049/el:20070427)

Kalna, K., Wilson, J.A., Moran, D.A.J., Hill, R.J.W., Long, A.R., Droopad, R., Passlack, M., Thayne, I.G. and Asenov, A. (2007) Monte Carlo simulations of high-performance implant free In0.3Ga0.7 nano-MOSFETs for low-power CMOS applications. IEEE Transactions on Nanotechnology, 6(1), pp. 106-112. (doi: 10.1109/TNANO.2006.888543)

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2007) 50 nm metamorphic GaAs and InPHEMTs. Thin Solid Films, 515, pp. 4373-4377. (doi: 10.1016/j.tsf.2006.07.104)

Paterson, G.W. , Wilson, J.A., Moran, D. , Hill, R., Long, A.R., Thayne, I. , Passlack, M. and Droopad, R. (2006) Gallium oxide (Ga2O3)on gallium arsenide - A low defect, high-K system for future devices. Materials Science and Engineering B: Solid-State Materials for Advanced Technology, 135(3), pp. 277-281. (doi: 10.1016/j.mseb.2006.08.026)

Moran, D.A.J., McLelland, H., Elgaid, K., Whyte, G., Stanley, C.R. and Thayne, I. (2006) 50-nm self-aligned and 'standard' T-gate InP pHEMT comparison: the influence of parasitics on performance at the 50-nm node. IEEE Transactions on Electron Devices, 53(12), pp. 2920-2925. (doi: 10.1109/TED.2006.885674)

Elgaid, K., McLelland, H., Holland, M., Moran, D.A.J., Stanley, C.R. and Thayne, I.G. (2005) 50-nm T-gate metamorphic GaAs HEMTs with fT of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26(11), pp. 784-786. (doi: 10.1109/LED.2005.857716)

Elgaid, K., McLelland, H., Holland, M., Moran, D., Stanley, C. and Thayne, I. (2005) 50-nm T-gate metamorphic GaAs HEMTs with f(T) of 440 GHz and noise figure of 0.7 dB at 26 GHz. IEEE Electron Device Letters, 26, pp. 784-786. (doi: 10.1109/LED.2005.857716)

Moran, D.A.J., Boyd, E., Elgaid, K., McEwan, F., McLelland, H., Stanley, C.R. and Thayne, I.G. (2004) Self-aligned T-gate InP HEMT realisation through double delta doping and a non-annealed ohmic process. Microelectronic Engineering, 73-74, pp. 814-817. (doi: 10.1016/j.mee.2004.03.057)

Moran, D., Boyd, E., Elgaid, K., McEwan, F., McLelland, H., Stanley, C. and Thayne, I. (2004) Self-aligned T-gate InPHEMT realisation through double delta doping and a non-annealed ohmic process. Microelectronic Engineering, 73-4, pp. 814-817. (doi: 10.1016/j.mee.2004.03.057)

Thoms, S. , Macintyre, D.S., Moran, D. and Thayne, I. (2004) Imprint lithography issues in the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 22(6), pp. 3271-3274. (doi: 10.1116/1.1821504)

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of ultrashort T gates using a PMMA/LOR/UVIII resist stack. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 3012-3016. (doi: 10.1116/1.1629292)

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D.S., Thoms, S., Stanley, C.R. and Thayne, I.G. (2003) Novel technologies for the realisation of GaAs pHEMTs with 120 nm self-aligned and nanoimprinted T-gates. Microelectronic Engineering, 67-89, pp. 769-774. (doi: 10.1016/S0167-9317(03)00137-0)

Chen, Y., Macintyre, D., Boyd, E., Moran, D., Thayne, I. and Thoms, S. (2003) High electron mobility transistors fabricated by nanoimprint lithography. Microelectronic Engineering, 67-8, pp. 189-195. (doi: 10.1016/S0167-9317(03)00183-7)

Macintyre, D.S., Chen, Y., Gourlay, D., Boyd, E., Moran, D. , Cao, X., Elgaid, K., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Nanoimprint lithography process optimization for the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 2783-2787. (doi: 10.1116/1.1629719)

Chen, Y., Macintyre, D., Boyd, E., Moran, D. , Thayne, I. and Thoms, S. (2002) Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 20(6), pp. 2887-2890. (doi: 10.1116/1.1520564)

Book Sections

Hill, R.J.W., Moran, D.A.J. , Li, X. , Zhou, H., Macintyre, D.S., Thoms, S. , Asenov, A. and Thayne, I.G. (2008) Ino.75Gao.25As channel III–V MOSFETs with leading performance metrics. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418447)

Boyd, E., Moran, D., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thorns, S., Stanley, C. and Thayne, L. (2003) 120 nm gate length E-beam and nanoimprint T-gate GaAs pHEMTs utilising non-annealed ohmic contacts. In: Compound Semiconductors 2002: Proceedings of the 29th International Symposium, Lausanne, 7-11 October 2002. Series: Institute of Physics conference series (174). Institute of Physics, pp. 291-294. ISBN 0750309423

Conference or Workshop Item

Hemakumara, D., Li, X. , Floros, K., Cho, S., Guinney, I., Humphreys, C., Thayne, I. G., O'Mahony, A., Knoops, H. and Moran, D. (2019) Improved Performance of GaN Metal-Oxide-Semiconductor Capacitors byPplasma ALD of AlN Interlayer. AVS 19th International Conference on Atomic Layer Deposition (ALD 2019) / 6th International Atomic Layer Etching Workshop (ALE 2019), Washington, USA, 21-24 July 2019.

Li, X. , Fu, Y.-C., Cho, S.-J., Hemakumara, D., Floros, K., Moran, D. and Thayne, I. G. (2019) Developments of Atomic Layer Etch Processes and their Applications in Fabricating III-V Compound Semiconductor Devices. AVS 19th International Conference on Atomic Layer Deposition (ALD 2019) / 6th International Atomic Layer Etching Workshop (ALE 2019), Washington, USA, 21-24 July 2019.

Li, X. , Hemakumara, D., Fu, Y.-C., Moran, D. and Thayne, I. (2019) A Study of In-situ X-ray Photoelectron Spectroscopy Surface Analysis in Development of Atomic Layer Etch for GaN/AlGaN Based Power Device Fabrication. 11th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 12th International Conference on Plasma-Nano Technology & Science (ISPlasma2019 / IC-PLANTS2019), Nagoya, Japan, 17-21 March 2019.

Li, X. , Zhou, H., Hemakumara, D., Cho, S.-J., Floros, K., Moran, D. and Thayne, I. (2018) A Study of In-Situ Auger Spectroscopic Surface Analysis in Development of Atomic Layer Etch for GaN/AlGaN Based Power Device Fabrication. 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 11th International Conference on Plasma-Nano Technology & Science (ISPlasma2018 / IC-PLANTS2018), Nagoya, Japan, 4-8 March 2018.

Li, X. , Cho, S.-J., Floros, K., Hemakumara, D., Zhou, H., Guiney, I., Moran, D. , Humphreys, C. and Thayne, I.G. (2018) In-situ Auger Spectroscopy Analysis of an Atomic Layer Etching Process for GaN/AlGaN-based Power Device Fabrication. UKNC Winter Conference 2018, Manchester, UK, 10-11 Jan 2018.

Li, X. , Zhou, H., Flores, K., Cho, S.-J., Hemakumara, D., Moran, D. and Thayne, I. (2018) Optimization of Atomic Layer Etch Process for Fabrication of Dual Barrier GaN-Based Power Device Using In-Situ Auger Spectrometric Surface Analysis. AVS 18th International Conference on Atomic Layer Deposition (ALD 2018) and the 5th International Atomic Layer Etching Workshop (ALE 2018), Incheon, South Korea, 29 Jul-1 Aug 2018.

Hemakumara, D., Li, X. , Floros, K., Cho, S., Guiney, I., Moran, D. , Humphreys, C., O'Mahony, A., Knoops, H. and Thayne, I. G. (2017) 4x Reduction in Gan MOSCAP Flatband Voltage Hysteresis with an In-situ Deposited Sin Cap and Device Processing in a Cluster Tool. 12th International Conference on Nitride Semiconductors, Strasbourg, France, 24-28 July 2017.

Hemakumara, D., Li, X. , Cho, S., Floros, K., Guiney, I., Moran, D. , Humphreys, C., O'Mahony, A., Knoops, H. and Thayne, I.G. (2017) The Impact on GaN MOS Capacitor Performance of In‐situ Processing in a Clustered ALD/ICP/RIE Tool. AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Etching Workshop (ALE 2017), Denver, CO, USA, 15-18 Jul 2017.

Li, X. et al. (2017) Atomic layer etch processes developed in an ICP/RIE etching system for etching III-V compound semiconductor materials. AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Etching Workshop (ALE 2017), Denver, CO, USA, 15-18 Jul 2017.

Li, X. , Floros, K., Cho, S.-J., Hemakumara, D., Moran, D. and Thayne, I. (2017) Damage to Algan/Gan Power Device Materials from Cl2 and Ar Plasma Based Atomic Layer Etching and its Elimilation via a Low Temperature Rapid Thermal Annealing. 9th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 10th International Conference on Plasma-Nano Technology & Science (ISPlasma2017 / IC-PLANTS2017), Aichi, Japan, 1-5 March 2017.

Cho, S.-J., Li, X. , Floros, K., Hamakumara, D., Ignatova, O. , Moran, D. , Humphreys, C.J. and Thayne, I. (2016) Low off-state Leakage Currents in AlGaN/GaN High Electron Mobility Transistors By Employing A Highly Stressed SiNx Surface Passivation Layer. 19th Workshop on Dielectrics in Microelectronics (WoDIM), Aci Castello, Catania, Italy, 27-30 Jun 2016.

Li, X. , Floros, K., Cho, S.-J., Hemakumara, D., Guiney, I., Moran, D. and Thayne, I. G. (2016) An Hbr/Ar Atomic Layer Etch Process for Precision Gate Recess Etching of Gan-Based Transistors. UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016.

Moran, D.A.J. et al. (2007) High Performance Enhancement Mode III-V MOSFETs. IBM Workshop on Advanced Oxides, Zurich, Switzerland, June 2007.

Conference Proceedings

McGhee, J., Moran, D. A. and Georgiev, V. P. (2019) Simulations of Surface Transfer Doping of Hydrogenated Diamond by MoO3 Metal Oxide. In: 2019 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Grenoble, France, 01-03 Apr 2019, ISBN 9781728116587 (doi: 10.1109/EUROSOI-ULIS45800.2019.9041887)

Floros, K., Li, X. , Guiney, I., Cho, S.-J., Ternent, G., Hemakumara, D., Wasige, E. , Moran, D.A.J. , Humphries, C.J. and Thayne, I.G. (2016) A Dual Barrier InAlN/AlGaN/GaN HEMT on Si Substrate with Pt Based Gates. In: 9th International Workshop on Nitride Semiconductors (IWN 2016), Orlando, FL, USA, 2-7 Oct 2016, (Unpublished)

Floros, K. et al. (2016) Electrical Characterisation of InAlN/AlGaN/GaN HEMT on Si Substrate with Varying InAlN Thickness. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Cho, S.-J., Guiney, I., Moran, D. and Thayne, I. G. (2016) Development of an Atomic Layer Etch Process Via Repeated Cycling of Chloride Formation in Chlorine Gas and its Argon Plasma Removal for Precision Nanometer Scale Thin Layer Etch in GaN-Based Power Device Fabrications. In: UKNC Winter Conference 2016, Cambridge, UK, 6-7 Jan 2016,

Li, X. , Floros, K., Cho, S.-J., Guiney, I., Moran, D. and Thayne, I. G. (2016) An Atomic Layer Etch Process Based on a Cycled Procedure of Chlorination in Cl2 and Argon Plasma Removal of Chlorides for GaN Based Device Fabrication. In: 8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science (ISPlasma2016 / IC-PLANTS2016), Nagoya, Japan, 6-10 March 2016,

Crawford, K. G., Qi, D., Tallaire, A., Verona, C., Limiti, E. and Moran, D. A. J. (2015) Investigation into the Efficiency and Stability of Surface-Transfer Doped Hydrogen-Terminated Diamond Using MoO3. In: MRS Fall Meeting: Materials Research Society, Boston MA, USA, 29 Nov - 4 Dec 2015,

Crawford, K. G., Tallaire, A. and Moran, D. A. J. (2015) Impact of ICP Etching on the Formation of Surface Defects on Hydrogen Terminated Diamond. In: MRS Fall Meeting: Materials Research Society, Boston MA, USA, 29 Nov - 4 Dec 2015,

Li, X. , Floros, K., Hemakumara, D., Moran, D. and Thayne, I. G. (2015) Realisation of Low Annealing Temperature and Low Resistance Ohmic Contacts forAlGaN/GaN-Based Power Devices Via SiH4 Inductively Coupled Plasma Treatment. In: UK Semiconductor Conference, Sheffield, UK, 1-2 July 2015,

Macdonald, D. A., Tallaire, A., Verona, C., Limiti, E. and Moran, D. A. J. (2015) Stability of Operation of Atmosphere-Exposed, Hydrogen-Terminated Diamond FETs under Constant Operation. In: MRS Fall Meeting: Materials Research Society, Boston MA, USA, 29 Nov - 4 Dec 2015,

Mcglone, A. W., Williams, O. A. and Moran, D. A. J. (2015) Investigation into the Minimum Feature Size for Reactive-Ion Etched (RIE) Micro and Nano-Scale Polycrystalline Diamond Mechanical Resonators. In: MRS Fall Meeting: Materials Research Society, Boston MA, USA, 29 Nov - 4 Dec 2015,

Camarchia, V., Cappelluti, F., Ghione, G., Limiti, E., Moran, D.A.J. and Pirola, M. (2014) An Overview on Recent Developments in RF and Microwave Power H-Terminated Diamond MESFET Technology. In: 2014 International Workshop on Integrated Nonlinear Microwave and Millimetre-wave Circuits (INMMiC), Leuven, Belgium, 2-4 Apr 2014,

Crawford, K. G., Russell, S. A. O., Qi, D., Cao, L., Tallaire, A., Wee, A. T. S. and Moran, D. A. J. (2014) Temperature Stable Surface Transfer Doping of H-Terminated Diamond via MoO3. In: 23rd European Workshop on Heterostructure Technology, Giessen, Germany, 12-15 Oct 2014,

Crawford, K. G., Russell, S. A. O., Qi, D., Cao, L., Tallaire, A., Wee, A. T. S. and Moran, D. A. J. (2014) Advancing Surface Transfer Doping of Hydrogen-Terminated Diamond via Deposition of MoO3. In: SBDD XIX Diamond Workshop, Hasselt, Belgium, Feb 2014,

Limiti, E. et al. (2014) Fabrication and Performance of Microwave Diamond Devices for Space Applications. In: 7th Wide Band Gap Semiconductor and Components Workshop, Frascati, Italy, 11-12 Sept 2014, 97`-101.

Limiti, E., Ciccognani, W., Verona, C., Ghione, G., Cappelluti, F., Moran, D. , Giovine, E. and Di Pietrantonio, F. (2014) H-Terminated Single-Crystal Diamond Fets for Space Applications. In: 23rd European Workshop on Heterostructure Technology, Giessen, Germany, 12-15 Oct 2014,

Moran, D. A. J. , Crawford, K. G., Russell, S. A. O., Qi, D., Cao, L., Tallaire, A. and Wee, A. T. S. (2014) Advancing Surface Transfer Doping of Hydrogen-Terminated Diamond via Deposition of MoO3. In: UK Diamond Research Conference, Warwick, UK, July 2014,

Russell, S. A. O., Moran, D. A. J. , Verona, C., Limiti, E., Cappelluti, F., Ghione, G. and Barnes, A. (2014) Single-Crystal Diamond Microwave Devices for Space Applications. In: 2014 9th European Microwave Integrated Circuit Conference (EuMIC), Rome, Italy, 6-7 Oct. 2014, pp. 154-157. ISBN 9782874870361 (doi: 10.1109/EuMIC.2014.6997815)

Crawford, K. G., Russell, S. A. O., Qi, D., Cao, L., Tallaire, A., Wee, A. T. S. and Moran, D. A. J. (2013) Advancing Surface Transfer Doping of Hydrogen Terminated Diamond via Inorganic Materials. In: 22nd European Workshop on Heterostructure Technology (HETECH), Glasgow, UK, 9-11 Sep 2013,

Moran, D. A. J. (2013) Diamond - The Ultimate Material for Future High Power Electronics? In: CMOS Emerging Technologies Research Symposium, Whistler, Canada, 17-19 July 2013,

Moran, D. A. J. , Russell, S., Sharabi, S., Tallaire, A., Qi, D. and Wee, A. (2013) Recent Advances in Hydrogen-terminated Diamond FET Technology. In: UK Semiconductors 2013, Sheffield, UK, 3 - 4 Jul 2013,

Russell, S., Sharabi, S., Tallaire, A., Qi, D., Wee, A. and Moran, D. A. J. (2013) Recent Progress and Future Challenges in Hydrogen-Terminated Diamond Field Effect Transistor Technology. In: SBDD XVIII: Diamond Workshop, Hasselt, Belgium, Feb 2013,

Greer, A. I. M. and Moran, D. A. J. (2012) The Effect of Charge Dissipation Layer Thickness on E-Beam Feature Size for Polycrystalline Diamond. In: SBDD XVII Diamond Workshop, Hasselt, Belgium, March 2012,

Greer, A. I. M. , Seunarine, K., Khokhar, A. Z., Li, X. , Moran, D. A. J. and Gadegaard, N. (2012) Direct Nano-Patterning of Commercially Pure Titanium with Ultra-Nanocrystalline Diamond Stamps. In: SBDD XVII Diamond Workshop, Hasselt, Belgium, March 2012,

Moran, D. A. J. (2012) Surface Channel Diamond Field Effect Transistors: Recent Progress and Future Challenges. In: Materials for Tomorrow Workshop, Biopolis, Singapore, 6-7 Dec 2012,

Moran, D. A. J. , Russell, S. A. O., Sharabi, S. and Tallaire, A. (2012) High frequency hydrogen-terminated diamond field effect transistor technology. In: 12th IEEE International Conference on Nanotechnology (IEEE-NANO), Birmingham UK, 20-23 Aug 2012, pp. 1-5. ISBN 9781467321983 (doi: 10.1109/NANO.2012.6321925)

Russell, S. A. O., Sharabi, S., MacFarlane, D., Caterino, R., Garrido, J. A. and Moran, D. A. J. (2012) A Comparison Between De-Embedding Strategies for the Extraction of the RF Performance of Hydrogen-Terminated Diamond FETs. In: SBDD XVII Diamond Workshop, Hasselt, Belgium, March 2012,

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Short Gate Length Surface-Channel Diamond Transistors. In: HeTech European Workshop, Lille, France, Nov 2011,

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Intrinsic DC Operation and Performance Potential of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors. In: 69th Annual Device Research Conference (DRC), Santa Barbara, CA, USA, 20-22 June 2011, pp. 137-138. ISBN 9781612842431 (doi: 10.1109/DRC.2011.5994454)

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Intrinsic DC Operation and Performance Potential of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors. In: UK Diamond Research Conference, Warwick, UK, July 2011, (doi: 10.1109/DRC.2011.5994454)

Moran, D. , MacLaren, D. A. , Porro, S., Hill, R., McLelland, H., John, P. and Wilson, J.I.B. (2010) Development and operation of 50nm gate length hydrogen terminated diamond field effect transistors. In: UK Diamond Research Conference, Warwick, UK, July 2010,

Moran, D. , MacLaren, D. A. , Porro, S., McLelland, H., John, P. and Wilson, J.I.B. (2010) Processing of 50nm gate-length hydrogen terminated diamond FETs for high frequency and high power applications. In: Micro and Nano Engineering Conference, Genoa, Italy, 19-22 Sep 2010,

Moran, D. A. J. , MacLaren, D. A. , Porro, S., Hill, R., McLelland, H., John, P. and Wilson, J. I. B. (2010) Characterisation and Inspection of 50nm Gate-Length Hydrogen Terminated Diamond Field Effect Transistors. In: MRS Fall Meering, Boston MA, USA, 29 Nov - 3 Dec 2010,

Hill, R.J.W. et al. (2009) Deep sub-micron and self-aligned flatband III–V MOSFETs. In: Device Research Conference, 2009 (DRC 2009), University Park, PA, USA, 22-24 Jun 2009, pp. 251-252. (doi: 10.1109/DRC.2009.5354900)

Moran, D. A. J. , Porro, S., MacLaren, D. , Hill, R. J. and Wilson, J. I. B. (2009) Sub-100nm Gate-Length Hydrogenated Diamond FETs. In: UK Semiconductors 2009, Sheffield, UK, 1-2 July 2009,

Hill, R., Moran, D. , Li, X. , Macintyre, D.S., Thoms, S. , Asenov, A. , Droopad, R., Passlack, M. and Thayne, I. (2008) III-V MOSFETs: a possible solution for sub-22 nm CMOS nFETs. In: 17th European Heterostructure Technology Workshop, Venice, Italy, Nov 2008,

Passlack, M. et al. (2007) High mobility III-V MOSFETs for RF and digital applications. In: IEEE International Electron Devices Meeting (IEDM 2007), Washington DC, USA, 10-12 December 2007, pp. 621-624. ISBN 9781424415083 (doi: 10.1109/IEDM.2007.4419016)

Hill, R. J. W., Holland, M., Li, X. , Macintyre, D., Moran, D. , Stanley, C. R., Thoms, S. , Zhou, H. and Thayne, I. G. (2007) Recent Developments in III-V MOSFETs Technology. In: 15th International Symposium Nanostructures: Physics and Technology, Novosibirsk, Russia, 25-29 June 2007, pp. 134-136. ISBN 9785936340222

Hill, R.J.W., Holland, M.C., Li, X. , Macintyre, D.S., Moran, D.A.J. , Stanley, C.R., Thoms, S. and Thayne, I.G. (2007) Enhancement Mode, Implant Free, Metal Gate, High-K Dielectric, III-V MOSFETs. In: 2007 8th European Workshop on Ultimate Integration of Silicon (ULIS), Leuven, Belgium, 15-16 Mar 2007, pp. 129-132.

Moran, D. A. J. et al. (2007) III-V Enhancement Mode MOSFETs for Digital Applications. In: IBM MRC Oxide Workshop, Zurich, Switzerland, 25-27 June 2007,

Moran, D. A. J. et al. (2007) High Performance Enhancement-Mode III-V MOSFETs. In: UK Compound Semiconductor Conference 2007, Sheffield, UK, 2007,

Moran, D.A.J. et al. (2007) Sub-micron, Metal Gate, High-к Dielectric, Implant-free, Enhancement-mode III-V MOSFETs. In: 37th European Solid State Device Research Conference (ESSDERC 2007), Munich, Germany, 11-13 September 2007, pp. 466-469. ISBN 9781424411245 (doi: 10.1109/ESSDERC.2007.4430979)

Passlack, M. et al. (2007) High mobility III-V MOSFET Technology. In: CS MANTECH Conference, Austin, TX, USA, 14-17 May 2007,

Passlack, M. et al. (2007) High Mobility III-V MOSFET Technology. In: 7th Topical Workshop on Heterostructure Microelectronics (TWHM 2007), Chiba, Japan, 21-24 Aug 2007,

Rajagopalan, K. et al. (2007) Enhancement Mode n-MOSFET with High-κ Dielectric on GaAs Substrate. In: IEEE 65th Annual Device Research Conference, South Bend, Indiana, USA, 18-20 June 2007, pp. 205-206. ISBN 9781424411023 (doi: 10.1109/DRC.2007.4373719)

Thayne, I. G. et al. (2007) High Performance Enhancement Mode III-V MOSFETs for Silicon Co-Integration. In: Silicon Nanoelectronics Workshop, Kyoto, Japan, 10-11 June 2007,

Thayne, I.G. et al. (2007) Recent Progress in III-V MOSFETs. In: UK Condensed Matter and Material Physics Conference, Leicester, UK, April 2007,

Elgaid, K., Holland, M., McLelland, H., Moran, D., Thoms, S., Stanley, C. and Thayne, I. (2006) 50nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: Indium Phosphide & Related Materials, Princeton, USA, Paper TuB2.

Hill, R.J.W., Li, X. , Moran, D.A.J. , Zhou, H. and Thayne, I.G. (2006) A Low Damage Subtractive Ohmic Contact Process for III-V Mosfets. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Kalna, K., Hill, R., Wilson, J., Moran, D., Long, A., Asenov, A. and Thayne, I. (2006) Monte Carlo simulation of sub-30 nm high indium implant free III-V MOSFETs for low power digital applications. In: UK III-V Compound Semiconductors 2006, Sheffield, UK, D-0-3.

Kalna, K., Wilson, J., Moran, D., Hill, R., Long, A., Droopad, R., Passlack, M., Thayne, I. and Asenov, A. (2006) MC simulation of high performance InGaAs nano-MOSFETs for low power CMOS applications. In: IEEE 2006 Silicon Nanoelectronics Workshop, Honolulu, p. 13.

Longo, P., Scott, J., Hill, R., Moran, D., Craven, A. and Thayne, I. (2006) Elemental mapping of III-V MOSFET structures using energy filtered transmission electron microscopy. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Moran, D., McLelland, H., Elgaid, K., Stanley, C. and Thayne, I. (2006) Scaling of self-aligned T-gate InGaAs/InAlAs HEMT technology. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Thayne, I., Elgaid, K., Holland, M., McLelland, H., Moran, D.A.J., Thoms, S. and Stanley, C. (2006) 50 nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: 2006 International Conference on Indium Phosphide and Related Materials, Princeton, New Jersey, USA, 7-11 May 2006, pp. 181-184. ISBN 0780395581 (doi: 10.1109/ICIPRM.2006.1634143)

Thayne, I.G. et al. (2006) III-V MOSFETs for Digital Applications: An Overview. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Wilson, J., Paterson, G., Moran, D., Hill, R., Thayne, I. and Long, A. (2006) III-V MOS systems - charge control and transport. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I. (2005) Low noise high performance 50nm T-GATE metamorphic HEMT with cut-off frequency FTOF 440Ghz for millimeterwave imaging receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 141-143. ISBN 1092-8669

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I.G. (2005) Low noise high performance 50nm T-gate metamorphic HEMT with cut-off frequency fT of 440 GHz for millimeterwave imaging receivers applications. In: IEEE International Conference on Indium Phosphide and Related Materials, 2005, Glasgow, Scotland, 8-12 May 2005, pp. 141-143. ISBN 0780388917 (doi: 10.1109/ICIPRM.2005.1517439)

Moran, D., Cao, X., Elgaid, K., Boyd, E., Chen, Y., Thoms, S., McLelland, H., Stanley, C., Holland, M. and Thayne, I. (2005) Sub 100nm III-V HEMT technology: Approaching the Terahertz Regime. In: International Workshop on Terahertz Technology, Osaka, Japan,

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

Boyd, E., Thoms, S., Moran, D., Elgaid, K., Cao, X., Holland, M., Stanley, C. and Thayne, I. (2004) Fabrication of very high performance 50nm T-gate metamorphic GaAs HEMT's with exceptional uniformity. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Boyd, E., Zhou, H., McLelland, H., Moran, D.A.J., Thoms, S. and Thayne, I.G. (2004) Fabrication of 30nm T-gate high electron mobility transistors using a bi-Layer of PMMA and UVIII. In: 2004 IEEE Conference on Optoelectronic and Microelectronic Materials and Devices, Brisbane, Australia, 8-10 December 2004, pp. 25-28. ISBN 0780388208 (doi: 10.1109/COMMAD.2004.1577483)

Elgaid, K., McLelland, H., Cao, X., Boyd, E., Moran, D., Thoms, S., Zhou, H., Wilkinson, C., Stanley, C. and Thayne, I. (2004) An array-based design methodology for the realisation of 94GHz MMMIC amplifiers. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Moran, D.A.J., Boyd, E., McEwan, F., McLelland, H., Stanley, C.R. and Thayne, I.G. (2004) Sub 100nm T-Gate uniformity in InP HEMT technology. In: International Conference on Compound Semiconductor Manufacturing Technology, Miami, Florida, USA, 3-6 May 2004,

Moran, D., Boyd, E., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) 50nm T-gate lattice-matched InP HEMTs with fT of 430GHz using non-annealed ohmic contact process. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Thayne, I., Cao, X., Moran, D.A.J., Boyd, E., Elgaid, K., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2004) Very high performance 50 nm T-gate III-V HEMTs enabled by robust nanofabrication technologies. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany, 16-19 August 2004, pp. 95-97. ISBN 0780385365 (doi: 10.1109/NANO.2004.1392261)

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of Ultrashort T Gates Using a PMMA/LOR/UVIII Resist Stack. In: - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA, 27-30 May 2003,

Moran, D. A. J. , Kalna, K., Boyd, E., McEwan, F., McLelland, H., Zhuang, L. L., Stanley, C. R., Asenov, A. and Thayne, I. (2003) Self-aligned 0.12mm T-gate In.53Ga.47As/In.52Al.48As HEMT Technology Utilising a Non Annealed Ohmic Contact Strategy. In: ESSDERC '03 : 33rd Conference on European Solid-State Device Research, Estoril, Portugal, 16-18 September 2003, pp. 315-318. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256877)

Thayne, I. et al. (2003) Advanced III-V HEMT MMIC Technologies for Millemeter-Wave Applications. In: European Microwave Week 2003, Munich, Germany, 6-10 Oct 2003,

Boyd, E., Moran, D. , McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S. , Stanley, C. R. and Thayne, I. G. (2002) 120nm Gate Length E-Beam and Nanoimprint T-Gate GaAs pHEMTs Utilizing Non-Annealed Ohmic Contacts. In: Compound Semiconductors 2002, Lausanne, Switzerland, 7-10 Oct 2002, ISBN 9780750309424

Edgar, D. L. et al. (2002) Millimetre-wave Performance of InAlAs/InGaAs HEMTs Using a UVIII/PMMA Bilayer for 70nm T-Gate Fabrication. In: European Microwave Week 2002, Milan, Italy, 23-27 Sept 2002,

Patents

Freescale Semiconductor, Inc. (2009) III-V MOSFET Fabrication and Device (Fabrication process of e.g. group III-V MOSFET for nano complementary metal oxide semiconductor application, involves heat treating metal contact structure to produce alloy region within semiconductor substrate). .

This list was generated on Thu Apr 18 05:07:39 2024 BST.

Grants

EPSRC EP/X036901/1 EPSRC-SFI Aluminium-Rich Nitride Electronics (ARNE)
EPSRC EP/W03333X/1 Supported MoTe2: proving the viability of a 2D material to be employed in the PEM flow cell for the hydrogen production
EPSRC EP/W032627/1 Empowering Practical Interfacing of Quantum Computing (EPIQC)
EPSRC EP/W006448/1 Millimetre-wave and Terahertz On-chip Circuit Test Cluster for 6G Communications and Beyond (TIC6G)
EPSRC EP/V026127/1 Gallium Nitride Smart Power Integrated Circuit Technology (GaN SPICe)
EPSRC EP/S03725X/1 Evaluation of beta-Ga2O3 for high power RF device applications
EPSRC EP/K014471/1 Silicon Compatible GaN Power Electronics
EPSRC EP/E054668/1 Ultra short gate length diamond FETs for high power/high frequency applications
EU Horizon 2020 - Sense and Readout Electronics Cryogenically Integrated for QUantun ENhanced Computation and Evolving Communication (Sequence)
Defence and Security Accelerator - ß-Ga2O3 for high power RF device applications: a technology feasibility study
Industry - Advanced Radiation Hardened High-Frequency High Power Diamond Transistors
US ARL - Fabrication and Evaluation of the Performance of Radio Frequency (RF) Diamond Field Effect Transistors (FETs) Utilizing Transfer Doping Oxide (TDO) Layers
European Space Agency - Preliminary evaluation of diamond transistors using an advanced doping process

 

Supervision

Example PhD projects currently available (please contact David.Moran@glasgow.ac.uk for more information):

 

1. Advanced cryoelectronic devices for future, high Qubit density quantum computers.

  • This project will focus on the development of field effect transistors from various semiconductor materials e.g. InP & GaN to produce low noise amplifier circuits that operate at cryogenic temperatures in quantum computer systems.

 

2. Development of Gallium Oxide (Ga2O3) high power and high frequency devices for next generation high voltage applications.

  • In this project prototype nanoscale transistor devices will be developed to explore the maximum high voltage and high frequency performance that can be achieved using the gallium oxide material system.

 

3. Engineering the surface of diamond for quantum, electronic and sensing applications.

  • In this project the physical, chemical and electronic properties of the surface of diamond will be explored and optimised for electronic devices such as transistors, chemical and biological sensing devices, and for enhanced performance of colour centres and quantum defects in diamond (e.g. nitrogen vacancy centres) for quantum and sensing applications.

 

4. Aluminium-Rich Nitride Electronics (AlGaN and & AlN) for post 6G communications.

  • This project will focus on the development of aluminium nitride (AlN) and aluminium gallium nitride (AlGaN) transistors for high power and high frequency electronic applications.

 

5. Normally-off gallium nitride (GaN) transistors for high power applications.

  • Atomic layer etching (ALE) and various other processing techniques will be used in this project to develop GaN-based enhancement-mode transistors with normally off operation for high voltage and power applications.

 

6. Development of 2D metal dichalcogenide (van der Waals) materials and devices: From CVD growth to logic device fabrication and characterisation.

  • 2D metal dichalcogenide materials such as MoS2, MoSe2 and MoTe2 will be grown by chemical vapour deposition (CVD) techniques in this project and developed into high efficiency, low power, nano-scale logic devices.

 

Current PhD supervision:

  • Bian, Zijun
    Photonic Crystal Lasers for Data Communications
  • Guo, Qing
    Novel surface termination for advanced diamond electronics
  • Liu, Jingzhao
    GaAs Based Photonic Crystal Surface Emitting Lasers
  • Maini, Isha
    Development of advanced transfer doping processes in diamond for high performance electronic applications
  • Qu, Chunlin
    Hydrogen-terminated diamond based electronic devices
  • Smith, Matthew
    Innovative Rheology (i-Rheo) for material characterization and diagnostics
  • Sun, Youyi
    Novel Solid-State 2D Materials for Energy Conversion and Storage
  • Wang, Jing
    Compact low-loss integrated circuits for frequencies above 300 GHz
  • Zhang, Jingyi
    Production and characterization of new 2D materials for electronic applications
  • Zhao, Xingyu
    Visible Photonic Integrated Circuits (PICs)
  • Johny, Jestin
    Evaluation of Ultrawide Bandgap semiconductors for high performance electronics
  • Maini, Isha
    Development of advanced transfer doping processes in diamond for high performance electronic applications
  • Qu, Chunlin
    Hydrogen-terminated diamond based electronic devices
  • Wang, Jing
    Compact low-loss integrated circuits for frequencies above 300 GHz
  • Zhang, Jingyi
    Production and characterization of new 2D materials for electronic applications

Teaching

 

Lecturer and course coordinator of Acoustics and Audio Technology 4.

Lecturer and course coordinator of MSc Introduction to Research in Nanoscience and Nanotechnology.

Lectuer and course coordinator of Engineering Career Skills 3

Convenor of the EEE staff-student committee.

School of Engineering Coordinator for MEng personal projects.

Research datasets

Jump to: 2016
Number of items: 1.

2016

Roger, I., Moca, R., Moiras, H., Crawford, K. G., Moran, D., Ganin, A. and Symes, M. (2016) The Direct Hydrothermal Deposition of Cobalt-Doped MoS2 onto Fluorine-Doped SnO2 Substrates for Catalysis of the Electrochemical Hydrogen Evolution Reaction. [Data Collection] (Unpublished)

This list was generated on Thu Apr 18 05:07:40 2024 BST.

Additional information