Dr Stephen Thoms

  • Research Technologist (James Watt Nanofabrication Centre)

telephone: 01413305656
email: Stephen.Thoms@glasgow.ac.uk

R205 Level 2, Eng -Micro & Nanotechnology, 70 University Avenue, Glasgow G12 8LT

Import to contacts

ORCID iDhttps://orcid.org/0000-0001-7820-6023

Research interests

Biography

I have been at Glasgow University since 1984, coming here directly from Oxford University where I obtained a BA in Mathematics and a DPhil in Engineering.

I was one of the early Post Docs in the Ultra Small Structures Laboratory, which gradually grew in size over the years until we moved across to the James Watt Nanofabrication Centre (JWNC) in 2005, along with the other clean room activities in the Department of Electronics and Electrical Engineering, as we then were.

I was appointed to the position of Research Technologist in 1989 with particular responsibility for electron beam lithography. The University obtained its first commercial electron beam lithography tool in 1989, the Leica EBPG5, and this continued in use for over 20 years. Shortly after the JWNC opened in 2005 we took delivery of a new electron beam tool, the Vistec VB6, which rapidly became the main lithography workhorse for the School.

Research Interests

My present research interests centre around patterning at the nanoscale. Some recent topics on which I have worked are:

  • Improving the alignment accuracy of electron beam lithography, which is of fundamental importance for device fabrication.
  • Compostella, which is a novel interferometric 6 degree of freedom position measuring system with a precision of around 1 nm in x, y, z and a few microradians in angle.
  • III-V MOSFET fabrication

Expertise

Electron Beam Lithography and nanofabrication.

Publications

List by: Type | Date

Jump to: 2023 | 2022 | 2021 | 2020 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004 | 2003 | 2002 | 2001 | 2000 | 1998
Number of items: 132.

2023

Paul, J. et al. (2023) Scalable and CMOS-Compatible Superconducting Qubit Fabrication Process for Quantum Computing Applications. Superconducting Qubits and Algorithms Conference (SQA), Munich, Germany, 29 Aug - 01 Sep 2023.

Karami, K. , Dhongde, A., Cheng, H., Reynolds, P. M. , Reddy, B. A., Ritter, D., Li, C. , Wasige, E. and Thoms, S. (2023) Robust sub-100 nm T-Gate fabrication process using multi-step development. Micro and Nano Engineering, 19, 100211. (doi: 10.1016/j.mne.2023.100211)

2022

Cheng, H., Dhongde, A., Karami, K. , Reynolds, P. , Thoms, S. , Wasige, E. and Li, C. (2022) Reliable T-gate Process for THz HEMTs. UK Semiconductors 2022, Sheffield, UK, 6-7 July 2022.

Karami, K. , Dhongde, A., Cheng, H., Reynolds, P. M. , Thoms, S. , Reddy, B. A., Ritter, D., Li, C. and Wasige, E. (2022) Robust sub-100 nm T-gate fabrication process using multi-step development. MNE EUROSENSORS 2022, Leuven, Belgium, 19-23 September 2022.

2021

Al-Moathin, A., Li, C. , Wang, J., Al-Taai, Q. R. A. , Eddie, I., Ye, S. , Hou, L. , Thoms, S. , Kelly, A. and Marsh, J. H. (2021) Traveling-Wave Electroabsorption Modulated Laser Based on Identical Epitaxial Layer Scheme and HSQ Planarization. In: CLEO/Europe-EQEC 2021, Munich, Germany, 21-25 Jun 2021, ISBN 9781665418768 (doi: 10.1109/CLEO/Europe-EQEC52157.2021.9542652)

Bian, Z. et al. (2021) Comparative analysis of void-containing and all-semiconductor 1.5 μm InP-based photonic crystal surface-emitting laser diodes. AIP Advances, 11(6), 065315. (doi: 10.1063/5.0053535)

McKenzie, A. F. et al. (2021) Void engineering in epitaxially regrown GaAs-based photonic crystal surface emitting lasers by grating profile design. Applied Physics Letters, 118(2), 021109. (doi: 10.1063/5.0035038)

2020

Bian, Z. et al. (2020) 1.5 μm epitaxially regrown photonic crystal surface emitting laser diode. IEEE Photonics Technology Letters, 32(24), pp. 1531-1534. (doi: 10.1109/LPT.2020.3039059)

2016

Oxland, R. et al. (2016) InAs FinFETs with Hfin = 20 nm fabricated using a top-down etch process. IEEE Electron Device Letters, 37(3), pp. 261-264. (doi: 10.1109/LED.2016.2521001)

Mellor, A. et al. (2016) Specially Designed Solar Cells for Hybrid Photovoltaic-thermal Generators. In: IEEE 43rd Photovoltaic Specialist Conference (PVSC), Portland, OR, 5-10 June, 2016, pp. 2960-2963. ISBN 9781509027248 (doi: 10.1109/PVSC.2016.7750203)

2015

Taylor, R. J.E. et al. (2015) Coherently coupled photonic-crystal surface-emitting laser array. IEEE Journal of Selected Topics in Quantum Electronics, 21(6), 4900307. (doi: 10.1109/JSTQE.2015.2417998)

Taylor, R.J.E., Childs, D.T.D. , Ivanov, P., Stevens, B.J., Babazadeh, N., Crombie, A.J., Ternent, G., Thoms, S. , Zhou, H. and Hogg, R.A. (2015) Electronic control of coherence in a two-dimensional array of photonic crystal surface emitting lasers. Scientific Reports, 5, 13203. (doi: 10.1038/srep13203) (PMID:26289621) (PMCID:PMC4542471)

Wang, S.-W. et al. (2015) Field-effect mobility of InAs surface channel nMOSFET with low Dit scaled gate-stack. IEEE Transactions on Electron Devices, 62(8), pp. 2429-2436. (doi: 10.1109/TED.2015.2445854)

2014

Thoms, S. and Macintyre, D. S. (2014) Investigation of CSAR 62, a new resist for electron beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 32, 06FJ01. (doi: 10.1116/1.4899239)

Mirza, M. M. , MacLaren, D. A. , Samarelli, A., Holmes, B. M., Zhou, H., Thoms, S. , MacIntyre, D. and Paul, D. J. (2014) Determining the electronic performance limitations in top-down fabricated Si nanowires with mean widths down to 4 nm. Nano Letters, 14(11), pp. 6056-6060. (doi: 10.1021/nl5015298)

Thoms, S. , Macintyre, D. S., Docherty, K. E. and Weaver, J. M.R. (2014) Alignment verification for electron beam lithography. Microelectronic Engineering, 123, pp. 9-12. (doi: 10.1016/j.mee.2014.02.005)

Cao, M., Li, X. , Ferguson, S., Thoms, S. , Macintyre, D. and Thayne, I. (2014) A simple silicon compatible 40nm electroplated copper T-gate process. Microelectronic Engineering, 121, pp. 153-155. (doi: 10.1016/j.mee.2014.05.007)

Khalid, A. et al. (2014) Terahertz oscillations in an In0.53Ga0.47As submicron planar gunn diode. Journal of Applied Physics, 115(11), p. 114502. (doi: 10.1063/1.4868705)

Strain, M. J., Thoms, S. , Macintyre, D. S. and Sorel, M. (2014) Multi-wavelength filters in silicon using superposition sidewall Bragg grating devices. Optics Letters, 39(2), pp. 413-416. (doi: 10.1364/OL.39.000413)

Khalid, A. , Thoms, S. , Macintyre, D., Thayne, I.G. and Cumming, D.R.S. (2014) Fabrication of submicron planar Gunn diode. In: 26th International Conference on Indium Phosphide and Related Materials, Montpelier, France, 11-15 May 2014, (doi: 10.1109/ICIPRM.2014.6880542)

Strain, M. J., Thoms, S. , Macintyre, D. S. and Sorel, M. (2014) Compact Multi-Wavelength Filters in SOI using Superimposed Sidewall Bragg Gratings. In: 2014 IEEE 11th International Conference on Group IV Photonics (GFP), Paris, France, 27-29 Aug 2014, pp. 71-72. ISBN 9781479922826 (doi: 10.1109/Group4.2014.6962025)

Thoms, S. , Zhang, Y. and Weaver, J. M.R. (2014) Improved alignment algorithm for electron beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 32(6), 06F509. (doi: 10.1116/1.4901015)

2013

Mirza, M. M. , Thoms, S. , Macintyre, D., MacLaren, D. and Paul, D. (2013) Fabrication and Characterization of Silicon Nanowires with Width Down to 1.9 nm. In: 39th International Conference on Micro and Nano Engineering (MNE), London, UK, 16-19 Sep 2013,

Cao, M., Li, X. , Ferguson, S., Thoms, S. , Macintyre, D. and Thayne, I. (2013) A simple silicon compatible 40 nm electroplated Copper T‐gate process. In: MNE2013: 39th International Conference on Micro and Nano Engineering, London, UK, 16-19 Sept. 2013,

Chang, S.W. et al. (2013) InAs N-MOSFETs with record performance of Ion = 600 μA/μm at Ioff = 100 nA/μm (Vd = 0.5 V). In: IEEE International Electronic Devices Meeting (IEDM2013), Washington, D.C., 9-11 Dec 2013, 16.1.1-16.1.4. (doi: 10.1109/IEDM.2013.6724639)

2012

Mirza, M. M. A. , Zhou, H., Docherty, K., Thoms, S. , Macintyre, D. and Paul, D. (2012) High Aspect Ratio (~25:1) Sub-10 Nm HSQ Lines Using Electron Beam Lithography. In: EIPBN 2012: The 56th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, Waikoloa,HI USA, 29 May - 01 June 2012., Waikoloa, HI, USA, 29 May - 1 June 2012,

Burt, D.P., Dobson, P.S. , Docherty, K.E., Jones, C.W., Leach, R.K., Thoms, S. , Weaver, J.M.R. and Zhang, Y. (2012) Aperiodic interferometer for six degrees of freedom position measurement. Optics Letters, 37(7), pp. 1247-1249. (doi: 10.1364/OL.37.001247)

Thoms, S. and Macintyre, D.S. (2012) Long nanoscale gaps on III–V substrates by electron beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 30(6), 06F305. (doi: 10.1116/1.4766881)

2011

Thayne, I. , Bentley, S., Holland, M., Jansen, W., Li, X. , Macintyre, D., Thoms, S. , Shin, B., Ahn, J. and McIntyre, P. (2011) III–V nMOSFETs – some issues associated with roadmap worthiness (invited). Microelectronic Engineering, 88(7), pp. 1070-1075. (doi: 10.1016/j.mee.2011.03.100)

Martyniuk, M. et al. (2011) Electrical type conversion of p-type HgCdTe induced by nanoimprinting. Journal of Applied Physics, 109(9), 096102. (doi: 10.1063/1.3582062)

Bentley, S. et al. (2011) Electron mobility in surface- and buried- channel flatband In0.53Ga0.47As MOSFETs with ALD Al2O3 gate dielectric. IEEE Electron Device Letters, 32(4), pp. 494-496. (doi: 10.1109/LED.2011.2107876)

Macintyre, D. and Thoms, S. (2011) Comparison of hydrogen silsesquioxane development methods for sub-10 nm electron beam lithography using accurate linewidth inspection. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 29(6), 06F307. (doi: 10.1116/1.3634020)

2010

Thoms, S. and Macintyre, D.S. (2010) Linewidth metrology for sub-10 nm lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6H6-C6H10. (doi: 10.1116/1.3505129)

The University Court of the University of Glasgow; Weaver, Jonathan M.R.; Dobson, Phillip S.; Burt, David P.; Thoms, Stephen; Docherty, Kevin E.; Zhang, Yuan (2010) Uses of Electromagnetic Interference Patterns. .

Ignatova, O., Macintyre, D.S. and Thoms, S. (2010) Gate stack processing effects on III-V nMOSFET performance. In: 19th European Workshop on Heterostructure Technology, Crete, Greece, 18-20 Oct 2010,

Ignatova, O., Thoms, S. , Jansen, W., Macintyre, D.S. and Thayne, I.G. (2010) Lithography scaling issues associated with III-V MOSFETs. Microelectronic Engineering, 87(5-8), pp. 1049-1051. (doi: 10.1016/j.mee.2009.11.093)

Li, X. , Bentley, S., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2010) A low damage fully self-aligned gate-last process for fabricating sub-100 nm gate length enhancement mode GaAs MOSFETs. In: 54th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication, Anchorage, USA, June 2010,

Li, X. , Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6L1. (doi: 10.1116/1.3501355)

Li, X., Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), (doi: 10.1116/1.3501355)

Pedersen, R.H., Hamzah, M., Thoms, S. , Roach, P., Alexander, M.R. and Gadegaard, N. (2010) Electron beam lithography using plasma polymerized hexane as resist. Microelectronic Engineering, 87(5-8), pp. 1112-1114. (doi: 10.1016/j.mee.2009.11.043)

Thoms, S. and Macintyre, D.S. (2010) Linewidth measurement for sub-10 nm lithography. In: 54th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication, Anchorage, USA, June 2010,

2009

Macintyre, D.S., Ignatova, O., Thoms, S. and Thayne, I.G. (2009) Resist residues and transistor gate fabrication. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 27(6), pp. 2597-2601. (doi: 10.1116/1.3243176)

Hill, R.J.W. et al. (2009) Deep sub-micron and self-aligned flatband III–V MOSFETs. In: Device Research Conference, 2009 (DRC 2009), University Park, PA, USA, 22-24 Jun 2009, pp. 251-252. (doi: 10.1109/DRC.2009.5354900)

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) 100 nm gate length enhancement mode GaAs MOSFETs fabricated by a fully self-aligned process. In: UK Compound Semiconductor Conference 2009, Sheffield, UK, 1-2 July 2009,

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) Fully self-aligned process for fabricating 100 nm gate length enhancement mode GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 27(6), pp. 3153-3157. (doi: 10.1116/1.3256624)

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) Fully self-aligned process for fabricating 100 nm gate length enhancement mode GaAs MOSFETs. In: EIPBN 2009: The 53rd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, Marco Island, Florida, USA, 24-29 May 2009,

Martyniuk, M., Sewell, R.H., Westerhout, R., Musca, C.A., Dell, J.M., Antoszewski, J., Faraone, L., Macintyre, D., Thoms, S. and Ironside, C.M. (2009) Photovoltaic detectors fabricated by direct imprinting of mercury cadmium telluride. In: Lasers and Electro-Optics and Quantum Electronics and Laser Science Conference, Baltimore, USA, 1-5 June 2009, pp. 666-667.

Thayne, I.G. et al. (2009) Review of current status of III-V MOSFETs. ECS Transactions, 19(5), pp. 275-286. (doi: 10.1149/1.3119552)

Thayne, I. , Li, X. , Jansen, W., Ignatova, O. , Bentley, S., Zhou, H., Macintyre, D., Thoms, S. and Hill, R. (2009) Development of III-V MOSFET process modules compatible with silicon ULSI manufacture. ECS Transactions, 25(7), pp. 385-395. (doi: 10.1149/1.3203975)

2008

Hill, R., Moran, D. , Li, X. , Macintyre, D.S., Thoms, S. , Asenov, A. , Droopad, R., Passlack, M. and Thayne, I. (2008) III-V MOSFETs: a possible solution for sub-22 nm CMOS nFETs. In: 17th European Heterostructure Technology Workshop, Venice, Italy, Nov 2008,

Hill, R.J.W. et al. (2008) 1 μm gate length, In0.75Ga0.25As channel, thin body n-MOSFET on InP substrate with transconductance of 737μS/μm. Electronics Letters, 44, pp. 498-500. (doi: 10.1049/el:20080470)

Docherty, K.E., Thoms, S. , Dobson, P. and Weaver, J.M.R. (2008) Improvements to the alignment process in a commercial vector scan electron beam lithography tool. Microelectronic Engineering, 85(5-6), pp. 761-763. (doi: 10.1016/j.mee.2008.01.081)

Gnan, M., Thoms, S. , Macintyre, D. S., De La Rue, R. M. and Sorel, M. (2008) Fabrication of low-loss photonic wires in silicon-on-insulator using hydrogen silsesquioxane electron-beam resist. Electronics Letters, 44(2), pp. 115-116. (doi: 10.1049/el:20082985)

Hill, R.J.W., Moran, D.A.J. , Li, X. , Zhou, H., Macintyre, D.S., Thoms, S. , Asenov, A. and Thayne, I.G. (2008) Ino.75Gao.25As channel III–V MOSFETs with leading performance metrics. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418447)

Kalna, K. et al. (2008) III-V MOSFETs for digital applications with silicon co-integration. In: 7th International Conference on Advanced Semiconductor Devices and Microsystems, Smolenice, Slovakia, 12-16 October 2008, pp. 39-46. ISBN 9781424423255 (doi: 10.1109/ASDAM.2008.4743354)

Samarelli, A., Macintyre, D.S., Strain, M.J., De La Rue, R.M. , Sorel, M. and Thoms, S. (2008) Optical characterization of a hydrogen silsesquioxane lithography process. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 26(6), pp. 2290-2294. (doi: 10.1116/1.2998694)

Thoms, S. , Gnan, M., Macintyre, D., Samarelli, A., Sorel, M. , Strain, M. and De La Rue, R. (2008) Optical characterisation of an HSQ lithography process. In: International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN), Portland, USA, 27-30 May 2008,

2007

Hill, R.J.W., Moran, D.A.J., Li, X. , Zhou, H., Macintyre, D., Thoms, S., Droopad, R., Passlack, M. and Thayne, I.G. (2007) 180nm metal gate, high-k dielectric, implant-free III--V MOSFETs with transconductance of over 425 μS/μm. Electronics Letters, 43, pp. 543-545. (doi: 10.1049/el:20070427)

Passlack, M. et al. (2007) High mobility III-V MOSFETs for RF and digital applications. In: IEEE International Electron Devices Meeting (IEDM 2007), Washington DC, USA, 10-12 December 2007, pp. 621-624. ISBN 9781424415083 (doi: 10.1109/IEDM.2007.4419016)

Gnan, M., Macintyre, D.S., Sorel, M. , De La Rue, R.M. and Thoms, S. (2007) Enhanced stitching for the fabrication of photonic structures by electron beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 25(6), pp. 2034-2037. (doi: 10.1116/1.2800325)

Hill, R. J. W., Holland, M., Li, X. , Macintyre, D., Moran, D. , Stanley, C. R., Thoms, S. , Zhou, H. and Thayne, I. G. (2007) Recent Developments in III-V MOSFETs Technology. In: 15th International Symposium Nanostructures: Physics and Technology, Novosibirsk, Russia, 25-29 June 2007, pp. 134-136. ISBN 9785936340222

Hill, R.J.W., Holland, M.C., Li, X. , Macintyre, D.S., Moran, D.A.J. , Stanley, C.R., Thoms, S. and Thayne, I.G. (2007) Enhancement Mode, Implant Free, Metal Gate, High-K Dielectric, III-V MOSFETs. In: 2007 8th European Workshop on Ultimate Integration of Silicon (ULIS), Leuven, Belgium, 15-16 Mar 2007, pp. 129-132.

Moran, D. A. J. et al. (2007) III-V Enhancement Mode MOSFETs for Digital Applications. In: IBM MRC Oxide Workshop, Zurich, Switzerland, 25-27 June 2007,

Moran, D. A. J. et al. (2007) High Performance Enhancement-Mode III-V MOSFETs. In: UK Compound Semiconductor Conference 2007, Sheffield, UK, 2007,

Moran, D.A.J. et al. (2007) High Performance Enhancement Mode III-V MOSFETs. IBM Workshop on Advanced Oxides, Zurich, Switzerland, June 2007.

Moran, D.A.J. et al. (2007) Sub-micron, Metal Gate, High-к Dielectric, Implant-free, Enhancement-mode III-V MOSFETs. In: 37th European Solid State Device Research Conference (ESSDERC 2007), Munich, Germany, 11-13 September 2007, pp. 466-469. ISBN 9781424411245 (doi: 10.1109/ESSDERC.2007.4430979)

Passlack, M. et al. (2007) High mobility III-V MOSFET Technology. In: CS MANTECH Conference, Austin, TX, USA, 14-17 May 2007,

Passlack, M. et al. (2007) High Mobility III-V MOSFET Technology. In: 7th Topical Workshop on Heterostructure Microelectronics (TWHM 2007), Chiba, Japan, 21-24 Aug 2007,

Rajagopalan, K. et al. (2007) Enhancement Mode n-MOSFET with High-κ Dielectric on GaAs Substrate. In: IEEE 65th Annual Device Research Conference, South Bend, Indiana, USA, 18-20 June 2007, pp. 205-206. ISBN 9781424411023 (doi: 10.1109/DRC.2007.4373719)

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2007) 50 nm metamorphic GaAs and InPHEMTs. Thin Solid Films, 515, pp. 4373-4377. (doi: 10.1016/j.tsf.2006.07.104)

Thayne, I. G. et al. (2007) High Performance Enhancement Mode III-V MOSFETs for Silicon Co-Integration. In: Silicon Nanoelectronics Workshop, Kyoto, Japan, 10-11 June 2007,

Thayne, I.G. et al. (2007) Recent Progress in III-V MOSFETs. In: UK Condensed Matter and Material Physics Conference, Leicester, UK, April 2007,

Thoms, S. and Macintyre, D. (2007) Tilt-corrected stitching for electron beam lithography. Microelectronic Engineering, 84, pp. 793-796. (doi: 10.1016/j.mee.2007.01.127)

2006

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S., Macintyre, D., Holland, M. and Thayne, I. (2006) 30 nm Tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors. Microelectronic Engineering, 83, pp. 1152-1154. (doi: 10.1016/j.mee.2006.01.073)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S. , Macintyre, D.S., Holland, M.C. and Thayne, I.G. (2006) 30 nm tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors. Microelectronic Engineering, 83(4-9), pp. 1152-1154. (doi: 10.1016/j.mee.2006.01.073)

Elgaid, K., Holland, M., McLelland, H., Moran, D., Thoms, S., Stanley, C. and Thayne, I. (2006) 50nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: Indium Phosphide & Related Materials, Princeton, USA, Paper TuB2.

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S., Macintyre, D., Holland, M. and Thayne, I.G. (2006) A low damage RIE process for the fabrication of compound semiconductor based transistors with sub-100 nm tungsten gates. Microelectronic Engineering, 83(4-9), pp. 1159-1162. (doi: 10.1016/j.mee.2006.01.074)

Macintyre, D.S., Young, I., Glidle, A., Cao, X., Weaver, J.M.R. and Thoms, S. (2006) High resolution e-beam lithography using a thin titanium layer to promote resist adhesion. Microelectronic Engineering, 83(4-9), pp. 1128-1131. (doi: 10.1016/j.mee.2006.01.103)

MacIntyre, D. and Thoms, S. (2006) Nanometre scale overlay and stitch metrology using an optical microscope. Microelectronic Engineering, 83, pp. 1051-1054. (doi: 10.1016/j.mee.2006.01.022)

OFaolain, L., Yuan, X., McIntyre, D., Thoms, S., Chong, H., De la Rue, R. and Krauss, T. (2006) Low-loss propagation in photonic crystal waveguides. Electronics Letters, 42, pp. 1454-1455. (doi: 10.1049/el)

Thayne, I., Elgaid, K., Holland, M., McLelland, H., Moran, D.A.J., Thoms, S. and Stanley, C. (2006) 50 nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: 2006 International Conference on Indium Phosphide and Related Materials, Princeton, New Jersey, USA, 7-11 May 2006, pp. 181-184. ISBN 0780395581 (doi: 10.1109/ICIPRM.2006.1634143)

Thayne, I.G. et al. (2006) III-V MOSFETs for Digital Applications: An Overview. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

2005

Cao, X. et al. (2005) Low damage sputter deposition of tungsten for decanano compound semiconductor transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 23(6), pp. 3138-3142. (doi: 10.1116/1.2127937)

Li, X., Cao, X., Zhou, H., Wilkinson, C., Thoms, S., Macintyre, D., Holland, M. and Thayne, I. (2005) A low damage RIE process for the fabrication of cmpound semiconductor based transistors wtih sub-100nm tungsten gates. In: 31st International Conference on Micro and Nano-Engineering 2005, Vienna, Austria,

Macintyre, D. and Thoms, S. (2005) A study of resist flow during nanoimprint lithography. Microelectronic Engineering, 78-79, pp. 670-675. (doi: 10.1016/j.mee.2004.12.083)

Makarovsky, O., Neumann, A., Walker, D., Patane, A., Eaves, L., Henini, M., Thoms, S. and Wilkinson, C. (2005) Quasiballistic transport of hot holes in GaAs submicron channels. Applied Physics Letters, 86, (doi: 10.1063/1.1851003)

Moran, D., Cao, X., Elgaid, K., Boyd, E., Chen, Y., Thoms, S., McLelland, H., Stanley, C., Holland, M. and Thayne, I. (2005) Sub 100nm III-V HEMT technology: Approaching the Terahertz Regime. In: International Workshop on Terahertz Technology, Osaka, Japan,

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

2004

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C.R. and Thayne, I.G. (2004) Fabrication and performance of 50 nm T-gates for InP high electron mobility transistors. Microelectronic Engineering, 73-74, pp. 818-821. (doi: 10.1016/j.mee.2004.03.058)

Boyd, E., Thoms, S., Moran, D., Elgaid, K., Cao, X., Holland, M., Stanley, C. and Thayne, I. (2004) Fabrication of very high performance 50nm T-gate metamorphic GaAs HEMT's with exceptional uniformity. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Boyd, E., Zhou, H., McLelland, H., Moran, D.A.J., Thoms, S. and Thayne, I.G. (2004) Fabrication of 30nm T-gate high electron mobility transistors using a bi-Layer of PMMA and UVIII. In: 2004 IEEE Conference on Optoelectronic and Microelectronic Materials and Devices, Brisbane, Australia, 8-10 December 2004, pp. 25-28. ISBN 0780388208 (doi: 10.1109/COMMAD.2004.1577483)

Cao, X., Thoms, S., Stanley, C. and Thayne, I. (2004) High yield, high uniformity, high performance 50nm T-gate In0.52Al0.48As/In0.7Ga0.3As. In: 7th International Conference on Solid State and Intergrated Circuit Technology, Beijing, China,

Elgaid, K., McLelland, H., Cao, X., Boyd, E., Moran, D., Thoms, S., Zhou, H., Wilkinson, C., Stanley, C. and Thayne, I. (2004) An array-based design methodology for the realisation of 94GHz MMMIC amplifiers. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Lister, K.A., Thoms, S. , Macintyre, D.S., Wilkinson, C.D.W., Weaver, J.M.R. and Casey, B.G. (2004) Direct imprint of sub-10 nm features into metal using diamond and SiC stamps. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 22(6), pp. 3257-3259. (doi: 10.1116/1.1825010)

Lister, K., Casey, B., Dobson, P., Thoms, S., Macintyre, D., Wilkinson, C. and Weaver, J. (2004) Pattern transfer of a 23 nm-period grating and sub-15 nm dots into CVD diamond. Microelectronic Engineering, 73-4, pp. 319-322. (doi: 10.1016/j.mee.2004.02.060)

Thayne, I., Cao, X., Moran, D.A.J., Boyd, E., Elgaid, K., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2004) Very high performance 50 nm T-gate III-V HEMTs enabled by robust nanofabrication technologies. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany, 16-19 August 2004, pp. 95-97. ISBN 0780385365 (doi: 10.1109/NANO.2004.1392261)

Thoms, S., Macintyre, D., Elgaid, K., Stanley, C. and Thayne, I. (2004) The use of imprint lithography to fabricate high electron mobility transistors. In: International Conference on Electron, Photon, Ion beams and Nanofabrication, San Diego, USA,

Thoms, S. , Macintyre, D.S., Moran, D. and Thayne, I. (2004) Imprint lithography issues in the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 22(6), pp. 3271-3274. (doi: 10.1116/1.1821504)

2003

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of ultrashort T gates using a PMMA/LOR/UVIII resist stack. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 3012-3016. (doi: 10.1116/1.1629292)

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D.S., Thoms, S., Stanley, C.R. and Thayne, I.G. (2003) Novel technologies for the realisation of GaAs pHEMTs with 120 nm self-aligned and nanoimprinted T-gates. Microelectronic Engineering, 67-89, pp. 769-774. (doi: 10.1016/S0167-9317(03)00137-0)

Cao, X., Boyd, E., McLelland, H., Thoms, S., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate AlGaAs/InGaAs pseudomorphic high electron mobility transistors with fT of 200GHz. In: European Microwave Conference, Munich, Germany,

Cao, X., Thoms, S., Holland, M., Stanley, C. and Thayne, I. (2003) High performance 50nm T-gate In0.25AlAs/In0.53GaAs Metamorphic high electron mobility transistors. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal,

Cao, X., Thoms, S., Holland, M., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate In0.52AlAs/In0.53GaAs metamorphic high electron mobility transistors. In: European Microwave Conference, Munich, Germany,

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C. and Thayne, I. (2003) Fabrication and performance of 50nm T-gate for high electron mobility transistors. In: Microelectronic and Nanoelectronic Engineering 2003, Cambridge, UK,

Chen, Y., Macintyre, D., Boyd, E., Moran, D., Thayne, I. and Thoms, S. (2003) High electron mobility transistors fabricated by nanoimprint lithography. Microelectronic Engineering, 67-8, pp. 189-195. (doi: 10.1016/S0167-9317(03)00183-7)

Chen, Y., Macintyre, D., Gourlay, D., Boyd, E., Moran, D., Cao, X., Thayne, I. and Thoms, S. (2003) The fabrication of 50nm T-gates using a PMMA.LOR.UVIII resist stack. In: EIPBN 2003 - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA,

Chen, Y., Macintyre, D. and Thoms, S. (2003) A non-destructive method for the removal of residual resist in imprinted patterns. Microelectronic Engineering, 67-8, pp. 245-251. (doi: 10.1016/S0167-9317(03)00184-9)

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of Ultrashort T Gates Using a PMMA/LOR/UVIII Resist Stack. In: - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA, 27-30 May 2003,

Gadegaard, N. , Thoms, S., Macintyre, D., Mcghee, K., Gallagher, J., Casey, B. and Wilkinson, C. (2003) Arrays of nano-dots for cellular engineering. Microelectronic Engineering, 67-8, pp. 162-168. (doi: 10.1016/S0167-9317(03)00067-4)

Macintyre, D.S., Chen, Y., Gourlay, D., Boyd, E., Moran, D. , Cao, X., Elgaid, K., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Nanoimprint lithography process optimization for the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 2783-2787. (doi: 10.1116/1.1629719)

Makarovsky, O. et al. (2003) Nonlinear hole transport through a submicron-size channel. Applied Physics Letters, 82, pp. 925-927. (doi: 10.1063/1.1543643)

Thayne, I. et al. (2003) Advanced III-V HEMT MMIC Technologies for Millemeter-Wave Applications. In: European Microwave Week 2003, Munich, Germany, 6-10 Oct 2003,

Wilkinson, C.D.W., Thoms, S. , Macintyre, D., Curtis, A.S.G., Riehle, M. and Gadegaard, N. (2003) Nanofabrication of structures for cell engineering. Proceedings of the SPIE: The International Society for Optical Engineering, 5220, pp. 1-9. (doi: 10.1117/12.509749)

2002

Boyd, E., Moran, D., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) 120nm gate length e-beam and nanoimprint T-gate GaAs pHEMTs itilising non-annealed ohmic contacts. In: International Symposium on Compound Semiconductors, Lausanne, Switzerland,

Boyd, E., Moran, D. , McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S. , Stanley, C. R. and Thayne, I. G. (2002) 120nm Gate Length E-Beam and Nanoimprint T-Gate GaAs pHEMTs Utilizing Non-Annealed Ohmic Contacts. In: Compound Semiconductors 2002, Lausanne, Switzerland, 7-10 Oct 2002, ISBN 9780750309424

Chen, Y., Macintyre, D., Boyd, E., Moran, D. , Thayne, I. and Thoms, S. (2002) Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 20(6), pp. 2887-2890. (doi: 10.1116/1.1520564)

Edgar, D. L. et al. (2002) Millimetre-wave Performance of InAlAs/InGaAs HEMTs Using a UVIII/PMMA Bilayer for 70nm T-Gate Fabrication. In: European Microwave Week 2002, Milan, Italy, 23-27 Sept 2002,

Edgar, D. et al. (2002) Millimeter-wave performance of In/AlAs/InGaAs HEMT's using a UVIII/PMMA bilayer for 70nm T-gate fabrication. In: European Microwave Conference, Milan, Italy,

Hong, J., Kubrak, V., Edmonds, K., Neumann, A., Gallagher, B., Main, P., Henini, M., Marrows, C., Hickey, B. and Thoms, S. (2002) Quasi-ballistic transport of 2D electrons through magnetic barriers. Physica E: Low-Dimensional Systems and Nanostructures, 12, pp. 229-232.

Macintyre, D.S. and Thoms, S. (2002) High-resolution lithography. In: McGeough, J.A. (ed.) Micromachining of Engineering Materials. Series: Mechanical engineering (139). Marcel Dekker: New York, USA, pp. 325-368. ISBN 9780824706449

Makarovsky, O., Neumann, A., Dickinson, L., Eaves, L., Main, P., Henini, M., Thoms, S. and Wilkinson, C. (2002) Quantum Hall effect breakdown: can the bootstrap heating and inter-Landau-level scattering models be reconciled? Physica E: Low-Dimensional Systems and Nanostructures, 12, pp. 178-181.

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) Novel technologies for the realisation of GaAs pHEMTs wtih 20nm self-aligned and nanoimprinted T-gates. In: Micro- and NanoEngineering 2002, Lugano, Switzerland, ISBN 0167-9317

Thoms, S. and Macintyre, D.S. (2002) High resolution lithography. In: McGeough, J.A. (ed.) Micromachining of Engineering Materials. Series: Mechanical engineering (139). Marcel Deckker: New York, USA. ISBN 9780824706449

2001

Chen, Y., Macintyre, D.S. and Thoms, S. (2001) Effect of resist sensitivity ratio on T-gate fabrication. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 19(6), pp. 2494-2498. (doi: 10.1116/1.1420577)

Edmonds, K., Gallagher, B., Main, P., Overend, N., Wirtz, R., Nogaret, A., Henini, M., Marrows, C., Hickey, B. and Thoms, S. (2001) Magnetoresistance oscillations due to internal Landau band structure of a two-dimensional electron system in a periodic magnetic field. Physical Review B, 64,

Gallagher, B., Kubrak, V., Rushforth, A., Neumann, A., Edmonds, K., Main, P., Henini, M., Marrows, C., Hickey, B. and Thoms, S. (2001) Electrical transport of 2D electrons in non-uniform magnetic fields. Physica E: Low-Dimensional Systems and Nanostructures, 11, pp. 171-176.

Kubrak, V., Edmonds, K., Neumann, A., Gallagher, B., Main, P., Henini, M., Marrows, C., Hickey, B. and Thoms, S. (2001) Giant magnetoresistance induced by magnetic barriers. IEEE Transactions on Magnetics, 37, pp. 1992-1994.

Stallard, W., Plaut, A., Thoms, S., Holland, M., Beaumont, S., Stanley, C. and Hopkinson, M. (2001) Fermi-edge singularities in a one-dimensional electron system in magnetic field. Solid State Communications, 119, pp. 55-58.

Thoms, S. (2001) T-gate fabrication using a ZEP520A/UVIII bilayer. Microelectronic Engineering, 57-58, pp. 939-943.

2000

Chen, Y., Edgar, D., Li, X. , Macintyre, D. and Thoms, S. (2000) Fabrication of 30 nm T gates using SiNx as a supporting and definition layer. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 18(6), p. 3521. (doi: 10.1116/1.1321279)

Chen, Y., Edgar, D., Li, X. , Macintyre, D. and Thoms, S. (2000) Fabrication of 30 nm T Gates Using SiNx as a Supporting and Definition Layer. In: 44th International Conference on Electron Ion and Photon Beam Technology and Nanofabrication (EIPBN), Rancho Mirage, CA, USA, 30 May - 2 Jun 2000,

1998

Zhou, H., Midha, A., Mills, G., Thoms, S. , Murad, S.K. and Weaver, J.M.R. (1998) Generic scanned-probe microscope sensors by combined micromachining and electron-beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 16(1), pp. 54-58. (doi: 10.1116/1.589835)

This list was generated on Thu Apr 18 10:19:40 2024 BST.
Number of items: 132.

Articles

Karami, K. , Dhongde, A., Cheng, H., Reynolds, P. M. , Reddy, B. A., Ritter, D., Li, C. , Wasige, E. and Thoms, S. (2023) Robust sub-100 nm T-Gate fabrication process using multi-step development. Micro and Nano Engineering, 19, 100211. (doi: 10.1016/j.mne.2023.100211)

Bian, Z. et al. (2021) Comparative analysis of void-containing and all-semiconductor 1.5 μm InP-based photonic crystal surface-emitting laser diodes. AIP Advances, 11(6), 065315. (doi: 10.1063/5.0053535)

McKenzie, A. F. et al. (2021) Void engineering in epitaxially regrown GaAs-based photonic crystal surface emitting lasers by grating profile design. Applied Physics Letters, 118(2), 021109. (doi: 10.1063/5.0035038)

Bian, Z. et al. (2020) 1.5 μm epitaxially regrown photonic crystal surface emitting laser diode. IEEE Photonics Technology Letters, 32(24), pp. 1531-1534. (doi: 10.1109/LPT.2020.3039059)

Oxland, R. et al. (2016) InAs FinFETs with Hfin = 20 nm fabricated using a top-down etch process. IEEE Electron Device Letters, 37(3), pp. 261-264. (doi: 10.1109/LED.2016.2521001)

Taylor, R. J.E. et al. (2015) Coherently coupled photonic-crystal surface-emitting laser array. IEEE Journal of Selected Topics in Quantum Electronics, 21(6), 4900307. (doi: 10.1109/JSTQE.2015.2417998)

Taylor, R.J.E., Childs, D.T.D. , Ivanov, P., Stevens, B.J., Babazadeh, N., Crombie, A.J., Ternent, G., Thoms, S. , Zhou, H. and Hogg, R.A. (2015) Electronic control of coherence in a two-dimensional array of photonic crystal surface emitting lasers. Scientific Reports, 5, 13203. (doi: 10.1038/srep13203) (PMID:26289621) (PMCID:PMC4542471)

Wang, S.-W. et al. (2015) Field-effect mobility of InAs surface channel nMOSFET with low Dit scaled gate-stack. IEEE Transactions on Electron Devices, 62(8), pp. 2429-2436. (doi: 10.1109/TED.2015.2445854)

Thoms, S. and Macintyre, D. S. (2014) Investigation of CSAR 62, a new resist for electron beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 32, 06FJ01. (doi: 10.1116/1.4899239)

Mirza, M. M. , MacLaren, D. A. , Samarelli, A., Holmes, B. M., Zhou, H., Thoms, S. , MacIntyre, D. and Paul, D. J. (2014) Determining the electronic performance limitations in top-down fabricated Si nanowires with mean widths down to 4 nm. Nano Letters, 14(11), pp. 6056-6060. (doi: 10.1021/nl5015298)

Thoms, S. , Macintyre, D. S., Docherty, K. E. and Weaver, J. M.R. (2014) Alignment verification for electron beam lithography. Microelectronic Engineering, 123, pp. 9-12. (doi: 10.1016/j.mee.2014.02.005)

Cao, M., Li, X. , Ferguson, S., Thoms, S. , Macintyre, D. and Thayne, I. (2014) A simple silicon compatible 40nm electroplated copper T-gate process. Microelectronic Engineering, 121, pp. 153-155. (doi: 10.1016/j.mee.2014.05.007)

Khalid, A. et al. (2014) Terahertz oscillations in an In0.53Ga0.47As submicron planar gunn diode. Journal of Applied Physics, 115(11), p. 114502. (doi: 10.1063/1.4868705)

Strain, M. J., Thoms, S. , Macintyre, D. S. and Sorel, M. (2014) Multi-wavelength filters in silicon using superposition sidewall Bragg grating devices. Optics Letters, 39(2), pp. 413-416. (doi: 10.1364/OL.39.000413)

Thoms, S. , Zhang, Y. and Weaver, J. M.R. (2014) Improved alignment algorithm for electron beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 32(6), 06F509. (doi: 10.1116/1.4901015)

Burt, D.P., Dobson, P.S. , Docherty, K.E., Jones, C.W., Leach, R.K., Thoms, S. , Weaver, J.M.R. and Zhang, Y. (2012) Aperiodic interferometer for six degrees of freedom position measurement. Optics Letters, 37(7), pp. 1247-1249. (doi: 10.1364/OL.37.001247)

Thoms, S. and Macintyre, D.S. (2012) Long nanoscale gaps on III–V substrates by electron beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 30(6), 06F305. (doi: 10.1116/1.4766881)

Thayne, I. , Bentley, S., Holland, M., Jansen, W., Li, X. , Macintyre, D., Thoms, S. , Shin, B., Ahn, J. and McIntyre, P. (2011) III–V nMOSFETs – some issues associated with roadmap worthiness (invited). Microelectronic Engineering, 88(7), pp. 1070-1075. (doi: 10.1016/j.mee.2011.03.100)

Martyniuk, M. et al. (2011) Electrical type conversion of p-type HgCdTe induced by nanoimprinting. Journal of Applied Physics, 109(9), 096102. (doi: 10.1063/1.3582062)

Bentley, S. et al. (2011) Electron mobility in surface- and buried- channel flatband In0.53Ga0.47As MOSFETs with ALD Al2O3 gate dielectric. IEEE Electron Device Letters, 32(4), pp. 494-496. (doi: 10.1109/LED.2011.2107876)

Macintyre, D. and Thoms, S. (2011) Comparison of hydrogen silsesquioxane development methods for sub-10 nm electron beam lithography using accurate linewidth inspection. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 29(6), 06F307. (doi: 10.1116/1.3634020)

Thoms, S. and Macintyre, D.S. (2010) Linewidth metrology for sub-10 nm lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6H6-C6H10. (doi: 10.1116/1.3505129)

Ignatova, O., Thoms, S. , Jansen, W., Macintyre, D.S. and Thayne, I.G. (2010) Lithography scaling issues associated with III-V MOSFETs. Microelectronic Engineering, 87(5-8), pp. 1049-1051. (doi: 10.1016/j.mee.2009.11.093)

Li, X. , Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6L1. (doi: 10.1116/1.3501355)

Li, X., Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), (doi: 10.1116/1.3501355)

Pedersen, R.H., Hamzah, M., Thoms, S. , Roach, P., Alexander, M.R. and Gadegaard, N. (2010) Electron beam lithography using plasma polymerized hexane as resist. Microelectronic Engineering, 87(5-8), pp. 1112-1114. (doi: 10.1016/j.mee.2009.11.043)

Macintyre, D.S., Ignatova, O., Thoms, S. and Thayne, I.G. (2009) Resist residues and transistor gate fabrication. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 27(6), pp. 2597-2601. (doi: 10.1116/1.3243176)

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) Fully self-aligned process for fabricating 100 nm gate length enhancement mode GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 27(6), pp. 3153-3157. (doi: 10.1116/1.3256624)

Thayne, I.G. et al. (2009) Review of current status of III-V MOSFETs. ECS Transactions, 19(5), pp. 275-286. (doi: 10.1149/1.3119552)

Thayne, I. , Li, X. , Jansen, W., Ignatova, O. , Bentley, S., Zhou, H., Macintyre, D., Thoms, S. and Hill, R. (2009) Development of III-V MOSFET process modules compatible with silicon ULSI manufacture. ECS Transactions, 25(7), pp. 385-395. (doi: 10.1149/1.3203975)

Hill, R.J.W. et al. (2008) 1 μm gate length, In0.75Ga0.25As channel, thin body n-MOSFET on InP substrate with transconductance of 737μS/μm. Electronics Letters, 44, pp. 498-500. (doi: 10.1049/el:20080470)

Docherty, K.E., Thoms, S. , Dobson, P. and Weaver, J.M.R. (2008) Improvements to the alignment process in a commercial vector scan electron beam lithography tool. Microelectronic Engineering, 85(5-6), pp. 761-763. (doi: 10.1016/j.mee.2008.01.081)

Gnan, M., Thoms, S. , Macintyre, D. S., De La Rue, R. M. and Sorel, M. (2008) Fabrication of low-loss photonic wires in silicon-on-insulator using hydrogen silsesquioxane electron-beam resist. Electronics Letters, 44(2), pp. 115-116. (doi: 10.1049/el:20082985)

Samarelli, A., Macintyre, D.S., Strain, M.J., De La Rue, R.M. , Sorel, M. and Thoms, S. (2008) Optical characterization of a hydrogen silsesquioxane lithography process. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 26(6), pp. 2290-2294. (doi: 10.1116/1.2998694)

Hill, R.J.W., Moran, D.A.J., Li, X. , Zhou, H., Macintyre, D., Thoms, S., Droopad, R., Passlack, M. and Thayne, I.G. (2007) 180nm metal gate, high-k dielectric, implant-free III--V MOSFETs with transconductance of over 425 μS/μm. Electronics Letters, 43, pp. 543-545. (doi: 10.1049/el:20070427)

Gnan, M., Macintyre, D.S., Sorel, M. , De La Rue, R.M. and Thoms, S. (2007) Enhanced stitching for the fabrication of photonic structures by electron beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 25(6), pp. 2034-2037. (doi: 10.1116/1.2800325)

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2007) 50 nm metamorphic GaAs and InPHEMTs. Thin Solid Films, 515, pp. 4373-4377. (doi: 10.1016/j.tsf.2006.07.104)

Thoms, S. and Macintyre, D. (2007) Tilt-corrected stitching for electron beam lithography. Microelectronic Engineering, 84, pp. 793-796. (doi: 10.1016/j.mee.2007.01.127)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S., Macintyre, D., Holland, M. and Thayne, I. (2006) 30 nm Tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors. Microelectronic Engineering, 83, pp. 1152-1154. (doi: 10.1016/j.mee.2006.01.073)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S. , Macintyre, D.S., Holland, M.C. and Thayne, I.G. (2006) 30 nm tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors. Microelectronic Engineering, 83(4-9), pp. 1152-1154. (doi: 10.1016/j.mee.2006.01.073)

Li, X. , Cao, X., Zhou, H., Wilkinson, C.D.W., Thoms, S., Macintyre, D., Holland, M. and Thayne, I.G. (2006) A low damage RIE process for the fabrication of compound semiconductor based transistors with sub-100 nm tungsten gates. Microelectronic Engineering, 83(4-9), pp. 1159-1162. (doi: 10.1016/j.mee.2006.01.074)

Macintyre, D.S., Young, I., Glidle, A., Cao, X., Weaver, J.M.R. and Thoms, S. (2006) High resolution e-beam lithography using a thin titanium layer to promote resist adhesion. Microelectronic Engineering, 83(4-9), pp. 1128-1131. (doi: 10.1016/j.mee.2006.01.103)

MacIntyre, D. and Thoms, S. (2006) Nanometre scale overlay and stitch metrology using an optical microscope. Microelectronic Engineering, 83, pp. 1051-1054. (doi: 10.1016/j.mee.2006.01.022)

OFaolain, L., Yuan, X., McIntyre, D., Thoms, S., Chong, H., De la Rue, R. and Krauss, T. (2006) Low-loss propagation in photonic crystal waveguides. Electronics Letters, 42, pp. 1454-1455. (doi: 10.1049/el)

Cao, X. et al. (2005) Low damage sputter deposition of tungsten for decanano compound semiconductor transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 23(6), pp. 3138-3142. (doi: 10.1116/1.2127937)

Macintyre, D. and Thoms, S. (2005) A study of resist flow during nanoimprint lithography. Microelectronic Engineering, 78-79, pp. 670-675. (doi: 10.1016/j.mee.2004.12.083)

Makarovsky, O., Neumann, A., Walker, D., Patane, A., Eaves, L., Henini, M., Thoms, S. and Wilkinson, C. (2005) Quasiballistic transport of hot holes in GaAs submicron channels. Applied Physics Letters, 86, (doi: 10.1063/1.1851003)

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C.R. and Thayne, I.G. (2004) Fabrication and performance of 50 nm T-gates for InP high electron mobility transistors. Microelectronic Engineering, 73-74, pp. 818-821. (doi: 10.1016/j.mee.2004.03.058)

Lister, K.A., Thoms, S. , Macintyre, D.S., Wilkinson, C.D.W., Weaver, J.M.R. and Casey, B.G. (2004) Direct imprint of sub-10 nm features into metal using diamond and SiC stamps. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 22(6), pp. 3257-3259. (doi: 10.1116/1.1825010)

Lister, K., Casey, B., Dobson, P., Thoms, S., Macintyre, D., Wilkinson, C. and Weaver, J. (2004) Pattern transfer of a 23 nm-period grating and sub-15 nm dots into CVD diamond. Microelectronic Engineering, 73-4, pp. 319-322. (doi: 10.1016/j.mee.2004.02.060)

Thoms, S. , Macintyre, D.S., Moran, D. and Thayne, I. (2004) Imprint lithography issues in the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 22(6), pp. 3271-3274. (doi: 10.1116/1.1821504)

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of ultrashort T gates using a PMMA/LOR/UVIII resist stack. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 3012-3016. (doi: 10.1116/1.1629292)

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D.S., Thoms, S., Stanley, C.R. and Thayne, I.G. (2003) Novel technologies for the realisation of GaAs pHEMTs with 120 nm self-aligned and nanoimprinted T-gates. Microelectronic Engineering, 67-89, pp. 769-774. (doi: 10.1016/S0167-9317(03)00137-0)

Chen, Y., Macintyre, D., Boyd, E., Moran, D., Thayne, I. and Thoms, S. (2003) High electron mobility transistors fabricated by nanoimprint lithography. Microelectronic Engineering, 67-8, pp. 189-195. (doi: 10.1016/S0167-9317(03)00183-7)

Chen, Y., Macintyre, D. and Thoms, S. (2003) A non-destructive method for the removal of residual resist in imprinted patterns. Microelectronic Engineering, 67-8, pp. 245-251. (doi: 10.1016/S0167-9317(03)00184-9)

Gadegaard, N. , Thoms, S., Macintyre, D., Mcghee, K., Gallagher, J., Casey, B. and Wilkinson, C. (2003) Arrays of nano-dots for cellular engineering. Microelectronic Engineering, 67-8, pp. 162-168. (doi: 10.1016/S0167-9317(03)00067-4)

Macintyre, D.S., Chen, Y., Gourlay, D., Boyd, E., Moran, D. , Cao, X., Elgaid, K., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Nanoimprint lithography process optimization for the fabrication of high electron mobility transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 21(6), pp. 2783-2787. (doi: 10.1116/1.1629719)

Makarovsky, O. et al. (2003) Nonlinear hole transport through a submicron-size channel. Applied Physics Letters, 82, pp. 925-927. (doi: 10.1063/1.1543643)

Wilkinson, C.D.W., Thoms, S. , Macintyre, D., Curtis, A.S.G., Riehle, M. and Gadegaard, N. (2003) Nanofabrication of structures for cell engineering. Proceedings of the SPIE: The International Society for Optical Engineering, 5220, pp. 1-9. (doi: 10.1117/12.509749)

Chen, Y., Macintyre, D., Boyd, E., Moran, D. , Thayne, I. and Thoms, S. (2002) Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 20(6), pp. 2887-2890. (doi: 10.1116/1.1520564)

Hong, J., Kubrak, V., Edmonds, K., Neumann, A., Gallagher, B., Main, P., Henini, M., Marrows, C., Hickey, B. and Thoms, S. (2002) Quasi-ballistic transport of 2D electrons through magnetic barriers. Physica E: Low-Dimensional Systems and Nanostructures, 12, pp. 229-232.

Makarovsky, O., Neumann, A., Dickinson, L., Eaves, L., Main, P., Henini, M., Thoms, S. and Wilkinson, C. (2002) Quantum Hall effect breakdown: can the bootstrap heating and inter-Landau-level scattering models be reconciled? Physica E: Low-Dimensional Systems and Nanostructures, 12, pp. 178-181.

Chen, Y., Macintyre, D.S. and Thoms, S. (2001) Effect of resist sensitivity ratio on T-gate fabrication. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 19(6), pp. 2494-2498. (doi: 10.1116/1.1420577)

Edmonds, K., Gallagher, B., Main, P., Overend, N., Wirtz, R., Nogaret, A., Henini, M., Marrows, C., Hickey, B. and Thoms, S. (2001) Magnetoresistance oscillations due to internal Landau band structure of a two-dimensional electron system in a periodic magnetic field. Physical Review B, 64,

Gallagher, B., Kubrak, V., Rushforth, A., Neumann, A., Edmonds, K., Main, P., Henini, M., Marrows, C., Hickey, B. and Thoms, S. (2001) Electrical transport of 2D electrons in non-uniform magnetic fields. Physica E: Low-Dimensional Systems and Nanostructures, 11, pp. 171-176.

Kubrak, V., Edmonds, K., Neumann, A., Gallagher, B., Main, P., Henini, M., Marrows, C., Hickey, B. and Thoms, S. (2001) Giant magnetoresistance induced by magnetic barriers. IEEE Transactions on Magnetics, 37, pp. 1992-1994.

Stallard, W., Plaut, A., Thoms, S., Holland, M., Beaumont, S., Stanley, C. and Hopkinson, M. (2001) Fermi-edge singularities in a one-dimensional electron system in magnetic field. Solid State Communications, 119, pp. 55-58.

Thoms, S. (2001) T-gate fabrication using a ZEP520A/UVIII bilayer. Microelectronic Engineering, 57-58, pp. 939-943.

Chen, Y., Edgar, D., Li, X. , Macintyre, D. and Thoms, S. (2000) Fabrication of 30 nm T gates using SiNx as a supporting and definition layer. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 18(6), p. 3521. (doi: 10.1116/1.1321279)

Zhou, H., Midha, A., Mills, G., Thoms, S. , Murad, S.K. and Weaver, J.M.R. (1998) Generic scanned-probe microscope sensors by combined micromachining and electron-beam lithography. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 16(1), pp. 54-58. (doi: 10.1116/1.589835)

Book Sections

Hill, R.J.W., Moran, D.A.J. , Li, X. , Zhou, H., Macintyre, D.S., Thoms, S. , Asenov, A. and Thayne, I.G. (2008) Ino.75Gao.25As channel III–V MOSFETs with leading performance metrics. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418447)

Macintyre, D.S. and Thoms, S. (2002) High-resolution lithography. In: McGeough, J.A. (ed.) Micromachining of Engineering Materials. Series: Mechanical engineering (139). Marcel Dekker: New York, USA, pp. 325-368. ISBN 9780824706449

Thoms, S. and Macintyre, D.S. (2002) High resolution lithography. In: McGeough, J.A. (ed.) Micromachining of Engineering Materials. Series: Mechanical engineering (139). Marcel Deckker: New York, USA. ISBN 9780824706449

Conference or Workshop Item

Paul, J. et al. (2023) Scalable and CMOS-Compatible Superconducting Qubit Fabrication Process for Quantum Computing Applications. Superconducting Qubits and Algorithms Conference (SQA), Munich, Germany, 29 Aug - 01 Sep 2023.

Cheng, H., Dhongde, A., Karami, K. , Reynolds, P. , Thoms, S. , Wasige, E. and Li, C. (2022) Reliable T-gate Process for THz HEMTs. UK Semiconductors 2022, Sheffield, UK, 6-7 July 2022.

Karami, K. , Dhongde, A., Cheng, H., Reynolds, P. M. , Thoms, S. , Reddy, B. A., Ritter, D., Li, C. and Wasige, E. (2022) Robust sub-100 nm T-gate fabrication process using multi-step development. MNE EUROSENSORS 2022, Leuven, Belgium, 19-23 September 2022.

Moran, D.A.J. et al. (2007) High Performance Enhancement Mode III-V MOSFETs. IBM Workshop on Advanced Oxides, Zurich, Switzerland, June 2007.

Conference Proceedings

Al-Moathin, A., Li, C. , Wang, J., Al-Taai, Q. R. A. , Eddie, I., Ye, S. , Hou, L. , Thoms, S. , Kelly, A. and Marsh, J. H. (2021) Traveling-Wave Electroabsorption Modulated Laser Based on Identical Epitaxial Layer Scheme and HSQ Planarization. In: CLEO/Europe-EQEC 2021, Munich, Germany, 21-25 Jun 2021, ISBN 9781665418768 (doi: 10.1109/CLEO/Europe-EQEC52157.2021.9542652)

Mellor, A. et al. (2016) Specially Designed Solar Cells for Hybrid Photovoltaic-thermal Generators. In: IEEE 43rd Photovoltaic Specialist Conference (PVSC), Portland, OR, 5-10 June, 2016, pp. 2960-2963. ISBN 9781509027248 (doi: 10.1109/PVSC.2016.7750203)

Khalid, A. , Thoms, S. , Macintyre, D., Thayne, I.G. and Cumming, D.R.S. (2014) Fabrication of submicron planar Gunn diode. In: 26th International Conference on Indium Phosphide and Related Materials, Montpelier, France, 11-15 May 2014, (doi: 10.1109/ICIPRM.2014.6880542)

Strain, M. J., Thoms, S. , Macintyre, D. S. and Sorel, M. (2014) Compact Multi-Wavelength Filters in SOI using Superimposed Sidewall Bragg Gratings. In: 2014 IEEE 11th International Conference on Group IV Photonics (GFP), Paris, France, 27-29 Aug 2014, pp. 71-72. ISBN 9781479922826 (doi: 10.1109/Group4.2014.6962025)

Mirza, M. M. , Thoms, S. , Macintyre, D., MacLaren, D. and Paul, D. (2013) Fabrication and Characterization of Silicon Nanowires with Width Down to 1.9 nm. In: 39th International Conference on Micro and Nano Engineering (MNE), London, UK, 16-19 Sep 2013,

Cao, M., Li, X. , Ferguson, S., Thoms, S. , Macintyre, D. and Thayne, I. (2013) A simple silicon compatible 40 nm electroplated Copper T‐gate process. In: MNE2013: 39th International Conference on Micro and Nano Engineering, London, UK, 16-19 Sept. 2013,

Chang, S.W. et al. (2013) InAs N-MOSFETs with record performance of Ion = 600 μA/μm at Ioff = 100 nA/μm (Vd = 0.5 V). In: IEEE International Electronic Devices Meeting (IEDM2013), Washington, D.C., 9-11 Dec 2013, 16.1.1-16.1.4. (doi: 10.1109/IEDM.2013.6724639)

Mirza, M. M. A. , Zhou, H., Docherty, K., Thoms, S. , Macintyre, D. and Paul, D. (2012) High Aspect Ratio (~25:1) Sub-10 Nm HSQ Lines Using Electron Beam Lithography. In: EIPBN 2012: The 56th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, Waikoloa,HI USA, 29 May - 01 June 2012., Waikoloa, HI, USA, 29 May - 1 June 2012,

Ignatova, O., Macintyre, D.S. and Thoms, S. (2010) Gate stack processing effects on III-V nMOSFET performance. In: 19th European Workshop on Heterostructure Technology, Crete, Greece, 18-20 Oct 2010,

Li, X. , Bentley, S., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2010) A low damage fully self-aligned gate-last process for fabricating sub-100 nm gate length enhancement mode GaAs MOSFETs. In: 54th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication, Anchorage, USA, June 2010,

Thoms, S. and Macintyre, D.S. (2010) Linewidth measurement for sub-10 nm lithography. In: 54th International Conference on Electron, Ion and Photon Beam Technology and Nanofabrication, Anchorage, USA, June 2010,

Hill, R.J.W. et al. (2009) Deep sub-micron and self-aligned flatband III–V MOSFETs. In: Device Research Conference, 2009 (DRC 2009), University Park, PA, USA, 22-24 Jun 2009, pp. 251-252. (doi: 10.1109/DRC.2009.5354900)

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) 100 nm gate length enhancement mode GaAs MOSFETs fabricated by a fully self-aligned process. In: UK Compound Semiconductor Conference 2009, Sheffield, UK, 1-2 July 2009,

Li, X. , Hill, R.J.W., Longo, P., Holland, M.C., Zhou, H., Thoms, S. , Macintyre, D.S. and Thayne, I.G. (2009) Fully self-aligned process for fabricating 100 nm gate length enhancement mode GaAs MOSFETs. In: EIPBN 2009: The 53rd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication, Marco Island, Florida, USA, 24-29 May 2009,

Martyniuk, M., Sewell, R.H., Westerhout, R., Musca, C.A., Dell, J.M., Antoszewski, J., Faraone, L., Macintyre, D., Thoms, S. and Ironside, C.M. (2009) Photovoltaic detectors fabricated by direct imprinting of mercury cadmium telluride. In: Lasers and Electro-Optics and Quantum Electronics and Laser Science Conference, Baltimore, USA, 1-5 June 2009, pp. 666-667.

Hill, R., Moran, D. , Li, X. , Macintyre, D.S., Thoms, S. , Asenov, A. , Droopad, R., Passlack, M. and Thayne, I. (2008) III-V MOSFETs: a possible solution for sub-22 nm CMOS nFETs. In: 17th European Heterostructure Technology Workshop, Venice, Italy, Nov 2008,

Kalna, K. et al. (2008) III-V MOSFETs for digital applications with silicon co-integration. In: 7th International Conference on Advanced Semiconductor Devices and Microsystems, Smolenice, Slovakia, 12-16 October 2008, pp. 39-46. ISBN 9781424423255 (doi: 10.1109/ASDAM.2008.4743354)

Thoms, S. , Gnan, M., Macintyre, D., Samarelli, A., Sorel, M. , Strain, M. and De La Rue, R. (2008) Optical characterisation of an HSQ lithography process. In: International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN), Portland, USA, 27-30 May 2008,

Passlack, M. et al. (2007) High mobility III-V MOSFETs for RF and digital applications. In: IEEE International Electron Devices Meeting (IEDM 2007), Washington DC, USA, 10-12 December 2007, pp. 621-624. ISBN 9781424415083 (doi: 10.1109/IEDM.2007.4419016)

Hill, R. J. W., Holland, M., Li, X. , Macintyre, D., Moran, D. , Stanley, C. R., Thoms, S. , Zhou, H. and Thayne, I. G. (2007) Recent Developments in III-V MOSFETs Technology. In: 15th International Symposium Nanostructures: Physics and Technology, Novosibirsk, Russia, 25-29 June 2007, pp. 134-136. ISBN 9785936340222

Hill, R.J.W., Holland, M.C., Li, X. , Macintyre, D.S., Moran, D.A.J. , Stanley, C.R., Thoms, S. and Thayne, I.G. (2007) Enhancement Mode, Implant Free, Metal Gate, High-K Dielectric, III-V MOSFETs. In: 2007 8th European Workshop on Ultimate Integration of Silicon (ULIS), Leuven, Belgium, 15-16 Mar 2007, pp. 129-132.

Moran, D. A. J. et al. (2007) III-V Enhancement Mode MOSFETs for Digital Applications. In: IBM MRC Oxide Workshop, Zurich, Switzerland, 25-27 June 2007,

Moran, D. A. J. et al. (2007) High Performance Enhancement-Mode III-V MOSFETs. In: UK Compound Semiconductor Conference 2007, Sheffield, UK, 2007,

Moran, D.A.J. et al. (2007) Sub-micron, Metal Gate, High-к Dielectric, Implant-free, Enhancement-mode III-V MOSFETs. In: 37th European Solid State Device Research Conference (ESSDERC 2007), Munich, Germany, 11-13 September 2007, pp. 466-469. ISBN 9781424411245 (doi: 10.1109/ESSDERC.2007.4430979)

Passlack, M. et al. (2007) High mobility III-V MOSFET Technology. In: CS MANTECH Conference, Austin, TX, USA, 14-17 May 2007,

Passlack, M. et al. (2007) High Mobility III-V MOSFET Technology. In: 7th Topical Workshop on Heterostructure Microelectronics (TWHM 2007), Chiba, Japan, 21-24 Aug 2007,

Rajagopalan, K. et al. (2007) Enhancement Mode n-MOSFET with High-κ Dielectric on GaAs Substrate. In: IEEE 65th Annual Device Research Conference, South Bend, Indiana, USA, 18-20 June 2007, pp. 205-206. ISBN 9781424411023 (doi: 10.1109/DRC.2007.4373719)

Thayne, I. G. et al. (2007) High Performance Enhancement Mode III-V MOSFETs for Silicon Co-Integration. In: Silicon Nanoelectronics Workshop, Kyoto, Japan, 10-11 June 2007,

Thayne, I.G. et al. (2007) Recent Progress in III-V MOSFETs. In: UK Condensed Matter and Material Physics Conference, Leicester, UK, April 2007,

Elgaid, K., Holland, M., McLelland, H., Moran, D., Thoms, S., Stanley, C. and Thayne, I. (2006) 50nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: Indium Phosphide & Related Materials, Princeton, USA, Paper TuB2.

Thayne, I., Elgaid, K., Holland, M., McLelland, H., Moran, D.A.J., Thoms, S. and Stanley, C. (2006) 50 nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: 2006 International Conference on Indium Phosphide and Related Materials, Princeton, New Jersey, USA, 7-11 May 2006, pp. 181-184. ISBN 0780395581 (doi: 10.1109/ICIPRM.2006.1634143)

Thayne, I.G. et al. (2006) III-V MOSFETs for Digital Applications: An Overview. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Li, X., Cao, X., Zhou, H., Wilkinson, C., Thoms, S., Macintyre, D., Holland, M. and Thayne, I. (2005) A low damage RIE process for the fabrication of cmpound semiconductor based transistors wtih sub-100nm tungsten gates. In: 31st International Conference on Micro and Nano-Engineering 2005, Vienna, Austria,

Moran, D., Cao, X., Elgaid, K., Boyd, E., Chen, Y., Thoms, S., McLelland, H., Stanley, C., Holland, M. and Thayne, I. (2005) Sub 100nm III-V HEMT technology: Approaching the Terahertz Regime. In: International Workshop on Terahertz Technology, Osaka, Japan,

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

Boyd, E., Thoms, S., Moran, D., Elgaid, K., Cao, X., Holland, M., Stanley, C. and Thayne, I. (2004) Fabrication of very high performance 50nm T-gate metamorphic GaAs HEMT's with exceptional uniformity. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Boyd, E., Zhou, H., McLelland, H., Moran, D.A.J., Thoms, S. and Thayne, I.G. (2004) Fabrication of 30nm T-gate high electron mobility transistors using a bi-Layer of PMMA and UVIII. In: 2004 IEEE Conference on Optoelectronic and Microelectronic Materials and Devices, Brisbane, Australia, 8-10 December 2004, pp. 25-28. ISBN 0780388208 (doi: 10.1109/COMMAD.2004.1577483)

Cao, X., Thoms, S., Stanley, C. and Thayne, I. (2004) High yield, high uniformity, high performance 50nm T-gate In0.52Al0.48As/In0.7Ga0.3As. In: 7th International Conference on Solid State and Intergrated Circuit Technology, Beijing, China,

Elgaid, K., McLelland, H., Cao, X., Boyd, E., Moran, D., Thoms, S., Zhou, H., Wilkinson, C., Stanley, C. and Thayne, I. (2004) An array-based design methodology for the realisation of 94GHz MMMIC amplifiers. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Thayne, I., Cao, X., Moran, D.A.J., Boyd, E., Elgaid, K., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2004) Very high performance 50 nm T-gate III-V HEMTs enabled by robust nanofabrication technologies. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany, 16-19 August 2004, pp. 95-97. ISBN 0780385365 (doi: 10.1109/NANO.2004.1392261)

Thoms, S., Macintyre, D., Elgaid, K., Stanley, C. and Thayne, I. (2004) The use of imprint lithography to fabricate high electron mobility transistors. In: International Conference on Electron, Photon, Ion beams and Nanofabrication, San Diego, USA,

Cao, X., Boyd, E., McLelland, H., Thoms, S., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate AlGaAs/InGaAs pseudomorphic high electron mobility transistors with fT of 200GHz. In: European Microwave Conference, Munich, Germany,

Cao, X., Thoms, S., Holland, M., Stanley, C. and Thayne, I. (2003) High performance 50nm T-gate In0.25AlAs/In0.53GaAs Metamorphic high electron mobility transistors. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal,

Cao, X., Thoms, S., Holland, M., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate In0.52AlAs/In0.53GaAs metamorphic high electron mobility transistors. In: European Microwave Conference, Munich, Germany,

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C. and Thayne, I. (2003) Fabrication and performance of 50nm T-gate for high electron mobility transistors. In: Microelectronic and Nanoelectronic Engineering 2003, Cambridge, UK,

Chen, Y., Macintyre, D., Gourlay, D., Boyd, E., Moran, D., Cao, X., Thayne, I. and Thoms, S. (2003) The fabrication of 50nm T-gates using a PMMA.LOR.UVIII resist stack. In: EIPBN 2003 - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA,

Chen, Y., MacIntyre, D.S., Cao, X., Boyd, E., Moran, D.A.J., McLelland, H., Holland, M., Stanley, C.R., Thayne, I. and Thoms, S. (2003) Fabrication of Ultrashort T Gates Using a PMMA/LOR/UVIII Resist Stack. In: - Electron, ion and photon beam tehcnology and nanofabrication, Tampa, USA, 27-30 May 2003,

Thayne, I. et al. (2003) Advanced III-V HEMT MMIC Technologies for Millemeter-Wave Applications. In: European Microwave Week 2003, Munich, Germany, 6-10 Oct 2003,

Boyd, E., Moran, D., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) 120nm gate length e-beam and nanoimprint T-gate GaAs pHEMTs itilising non-annealed ohmic contacts. In: International Symposium on Compound Semiconductors, Lausanne, Switzerland,

Boyd, E., Moran, D. , McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S. , Stanley, C. R. and Thayne, I. G. (2002) 120nm Gate Length E-Beam and Nanoimprint T-Gate GaAs pHEMTs Utilizing Non-Annealed Ohmic Contacts. In: Compound Semiconductors 2002, Lausanne, Switzerland, 7-10 Oct 2002, ISBN 9780750309424

Edgar, D. L. et al. (2002) Millimetre-wave Performance of InAlAs/InGaAs HEMTs Using a UVIII/PMMA Bilayer for 70nm T-Gate Fabrication. In: European Microwave Week 2002, Milan, Italy, 23-27 Sept 2002,

Edgar, D. et al. (2002) Millimeter-wave performance of In/AlAs/InGaAs HEMT's using a UVIII/PMMA bilayer for 70nm T-gate fabrication. In: European Microwave Conference, Milan, Italy,

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) Novel technologies for the realisation of GaAs pHEMTs wtih 20nm self-aligned and nanoimprinted T-gates. In: Micro- and NanoEngineering 2002, Lugano, Switzerland, ISBN 0167-9317

Chen, Y., Edgar, D., Li, X. , Macintyre, D. and Thoms, S. (2000) Fabrication of 30 nm T Gates Using SiNx as a Supporting and Definition Layer. In: 44th International Conference on Electron Ion and Photon Beam Technology and Nanofabrication (EIPBN), Rancho Mirage, CA, USA, 30 May - 2 Jun 2000,

Patents

The University Court of the University of Glasgow; Weaver, Jonathan M.R.; Dobson, Phillip S.; Burt, David P.; Thoms, Stephen; Docherty, Kevin E.; Zhang, Yuan (2010) Uses of Electromagnetic Interference Patterns. .

This list was generated on Thu Apr 18 10:19:40 2024 BST.

Grants

EPSRC III-V National Centre (Co-I) 7/10-6/13, £1.1M

Supervision

Olesya Ignatova

Teaching

Microelectronics in Consumer Products
MSc Nanofabrication