Mrs Helen McLelland

  • Technician (School of Engineering Administration)

telephone: 01413306102
email: Helen.McLelland@glasgow.ac.uk

JWNC Office, JWNC, James Watt South, Glasgow G12 8QQ

Import to contacts

Publications

List by: Type | Date

Jump to: 2011 | 2010 | 2006 | 2005 | 2004 | 2003 | 2002 | 2000 | 1999
Number of items: 36.

2011

Moran, D.A.J. , Fox, O.J.L., McLelland, H., Russell, S. and May, P.W. (2011) Scaling of hydrogen-terminated diamond FETs to Sub-100-nm gate dimensions. IEEE Electron Device Letters, 32(5), pp. 599-601. (doi: 10.1109/LED.2011.2114871)

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Short Gate Length Surface-Channel Diamond Transistors. In: HeTech European Workshop, Lille, France, Nov 2011,

Moran, D. A. J., Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Inspection of Intrinsic Operation and DC Performance of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors Using an Optimised Fabrication Process. In: SBDD XVI Diamond Workshop, Hasselt, Belgium, Feb 2011,

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Intrinsic DC Operation and Performance Potential of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors. In: 69th Annual Device Research Conference (DRC), Santa Barbara, CA, USA, 20-22 June 2011, pp. 137-138. ISBN 9781612842431 (doi: 10.1109/DRC.2011.5994454)

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Intrinsic DC Operation and Performance Potential of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors. In: UK Diamond Research Conference, Warwick, UK, July 2011, (doi: 10.1109/DRC.2011.5994454)

2010

Li, X. , Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6L1. (doi: 10.1116/1.3501355)

Moran, D. A. J. , MacLaren, D. A. , Porro, S., Hill, R., McLelland, H., John, P. and Wilson, J. I. B. (2010) Characterisation and Inspection of 50nm Gate-Length Hydrogen Terminated Diamond Field Effect Transistors. In: MRS Fall Meering, Boston MA, USA, 29 Nov - 3 Dec 2010,

2006

Elgaid, K., Holland, M., McLelland, H., Moran, D., Thoms, S., Stanley, C. and Thayne, I. (2006) 50nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: Indium Phosphide & Related Materials, Princeton, USA, Paper TuB2.

Moran, D., McLelland, H., Elgaid, K., Stanley, C. and Thayne, I. (2006) Scaling of self-aligned T-gate InGaAs/InAlAs HEMT technology. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

2005

Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2005) Low noise W-band MMMIC amplifier using 50nm InP technology for millimeterwave receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 523-525. ISBN 1092-8669

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I. (2005) Low noise high performance 50nm T-GATE metamorphic HEMT with cut-off frequency FTOF 440Ghz for millimeterwave imaging receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 141-143. ISBN 1092-8669

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2005) Surface mass spctrometric analysis of SiCl4/SiF4/O-2 dry etch gate recessed 120 nm T-gate GaAs pHEMTs. Microelectronic Engineering, 78-79, pp. 233-238. (doi: 10.1016/j.mee.2004.12.032)

Moran, D., Cao, X., Elgaid, K., Boyd, E., Chen, Y., Thoms, S., McLelland, H., Stanley, C., Holland, M. and Thayne, I. (2005) Sub 100nm III-V HEMT technology: Approaching the Terahertz Regime. In: International Workshop on Terahertz Technology, Osaka, Japan,

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

2004

Boyd, E., Zhou, H., McLelland, H., Moran, D.A.J., Thoms, S. and Thayne, I.G. (2004) Fabrication of 30nm T-gate high electron mobility transistors using a bi-Layer of PMMA and UVIII. In: 2004 IEEE Conference on Optoelectronic and Microelectronic Materials and Devices, Brisbane, Australia, 8-10 December 2004, pp. 25-28. ISBN 0780388208 (doi: 10.1109/COMMAD.2004.1577483)

Cao, X., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) High performance 50nm T-gate In0.52Al0.48As/In0.7Ga0.3As psuedomorphic high electron mobility transistors. In: 16th International Conference on Indium phosphide and Related Materials, Kagoshima, Japan,

Elgaid, K., McLelland, H., Cao, X., Boyd, E., Moran, D., Thoms, S., Zhou, H., Wilkinson, C., Stanley, C. and Thayne, I. (2004) An array-based design methodology for the realisation of 94GHz MMMIC amplifiers. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Elgaid, K., McLelland, H., Cao, X. and Thayne, I. (2004) Integration of a novel, high quality Si3N4 metal insulator metal (MIM) capacitors deposited by (ICP-CVD) at room temperature with 50nm T-gate metamorphic HEMTS to realise monolithic millimetre-wave integrated circuits (MMMICs). In: 16th International Conference on Indium phosphide and Related Materials, Kagoshima, Japan,

Li, X., Elgaid, K., McLelland, H. and Thayne, I. (2004) Surface mass spectrometric analysis of SiCl4/SiF4/O2 dry-etch gate recessed 120nm T-gate HEMTs. In: Microelectronic and Nanoelectronic Engineering 2004, Rotterdam, The Netherlands,

Moran, D., Boyd, E., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) 50nm T-gate lattice-matched InP HEMTs with fT of 430GHz using non-annealed ohmic contact process. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

2003

Cao, X., Boyd, E., McLelland, H., Thoms, S., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate AlGaAs/InGaAs pseudomorphic high electron mobility transistors with fT of 200GHz. In: European Microwave Conference, Munich, Germany,

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C. and Thayne, I. (2003) Fabrication and performance of 50nm T-gate for high electron mobility transistors. In: Microelectronic and Nanoelectronic Engineering 2003, Cambridge, UK,

Li, X. , Elgaid, K., McLelland, H. and Thayne, I. G. (2003) A Novel Single Step Sol-Gel Process for Silica on Silicon PLC's. In: 14th International Conference on Integrated Optics and Optical Fibre Communication, Rimini, Italy, 22-24 Sept 2003,

Moran, D., Kalna, K., Elgaid, K., McEwan, F., McLelland, H., Zhuang, L., Thayne, I., Stanley, C. and Asenov, A. (2003) Self-aligned 0.12micron T-gate InGaAs/InAlAs HEMT technology utilizing a non-annealed contact strategy. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal, pp. 315-318.

Moran, D. A. J. , Kalna, K., Boyd, E., McEwan, F., McLelland, H., Zhuang, L. L., Stanley, C. R., Asenov, A. and Thayne, I. (2003) Self-aligned 0.12mm T-gate In.53Ga.47As/In.52Al.48As HEMT Technology Utilising a Non Annealed Ohmic Contact Strategy. In: ESSDERC '03 : 33rd Conference on European Solid-State Device Research, Estoril, Portugal, 16-18 September 2003, pp. 315-318. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256877)

Thayne, I. et al. (2003) Advanced III-V HEMT MMIC Technologies for Millemeter-Wave Applications. In: European Microwave Week 2003, Munich, Germany, 6-10 Oct 2003,

2002

Boyd, E., Moran, D., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) 120nm gate length e-beam and nanoimprint T-gate GaAs pHEMTs itilising non-annealed ohmic contacts. In: International Symposium on Compound Semiconductors, Lausanne, Switzerland,

Boyd, E., Moran, D. , McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S. , Stanley, C. R. and Thayne, I. G. (2002) 120nm Gate Length E-Beam and Nanoimprint T-Gate GaAs pHEMTs Utilizing Non-Annealed Ohmic Contacts. In: Compound Semiconductors 2002, Lausanne, Switzerland, 7-10 Oct 2002, ISBN 9780750309424

Burns, G., Chong, H., Edgar, D., Ross, A., Elgaid, K., McLelland, H., Ferguson, S., McEwan, F. and Thayne, I. (2002) Millimetre-wave high frequency photonic crystal antennas. In: IEEE 2002 High Frequency Postgraduate Student Colloquium, London, UK,

Edgar, D. L. et al. (2002) Millimetre-wave Performance of InAlAs/InGaAs HEMTs Using a UVIII/PMMA Bilayer for 70nm T-Gate Fabrication. In: European Microwave Week 2002, Milan, Italy, 23-27 Sept 2002,

Edgar, D. et al. (2002) Millimeter-wave performance of In/AlAs/InGaAs HEMT's using a UVIII/PMMA bilayer for 70nm T-gate fabrication. In: European Microwave Conference, Milan, Italy,

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) Novel technologies for the realisation of GaAs pHEMTs wtih 20nm self-aligned and nanoimprinted T-gates. In: Micro- and NanoEngineering 2002, Lugano, Switzerland, ISBN 0167-9317

2000

Collier, R.J. et al. (2000) A Study of High Frequency Performance of Coplanar Waveguide as a Function of Substrate Thickness. In: 30th European Microwave Conference, Paris, France, 02-05 Oct 2000, ISBN 9780862132125 (doi: 10.1109/EUMA.2000.338733)

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2000) Effects of Pressure and Capping Layer Thickness on Sub-Micron T-Gate Recess Etching of GaAs pHEMTs by SiCl4/SiF4/O2 Reactive Ion Etch. In: 26th International Conference on Micro- and Nano-Engineering, Jena, Germany, 18-21 Sept 2000,

1999

Elgaid, K., Li, X. , Williamson, F., McLelland, H., Ferguson, S.M., Holland, M.C., Beaumont, S.P. and Thayne, I.G. (1999) Optimisation of DC and RF performance of GaAs HEMT-based Schottky diodes. Electronics Letters, 35(19), pp. 1678-1679. (doi: 10.1049/el:19991104)

Edgar, D.L., Elgaid, K., Williamson, F., Ross, A., McLelland, H., Ferguson, S., Doherty, F. , Thayne, I.G. , Taylor, M.R.S. and Beaumont, S.P. (1999) W-band on Wafer Measurement of Active and Passive Devices. In: IEE Colloquium on Microwave Measurements: Current Techniques and Trends, London, UK, 23 Feb 1999, 2/1-2/6. (doi: 10.1049/ic:19990025)

This list was generated on Sat Apr 20 08:47:03 2024 BST.
Number of items: 36.

Articles

Moran, D.A.J. , Fox, O.J.L., McLelland, H., Russell, S. and May, P.W. (2011) Scaling of hydrogen-terminated diamond FETs to Sub-100-nm gate dimensions. IEEE Electron Device Letters, 32(5), pp. 599-601. (doi: 10.1109/LED.2011.2114871)

Li, X. , Bentley, S., McLelland, H., Holland, M., Zhou, H., Thoms, S. , Macintyre, D. and Thayne, I. (2010) Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors. Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures, 28(6), C6L1. (doi: 10.1116/1.3501355)

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2005) Surface mass spctrometric analysis of SiCl4/SiF4/O-2 dry etch gate recessed 120 nm T-gate GaAs pHEMTs. Microelectronic Engineering, 78-79, pp. 233-238. (doi: 10.1016/j.mee.2004.12.032)

Elgaid, K., Li, X. , Williamson, F., McLelland, H., Ferguson, S.M., Holland, M.C., Beaumont, S.P. and Thayne, I.G. (1999) Optimisation of DC and RF performance of GaAs HEMT-based Schottky diodes. Electronics Letters, 35(19), pp. 1678-1679. (doi: 10.1049/el:19991104)

Conference Proceedings

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Short Gate Length Surface-Channel Diamond Transistors. In: HeTech European Workshop, Lille, France, Nov 2011,

Moran, D. A. J., Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Inspection of Intrinsic Operation and DC Performance of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors Using an Optimised Fabrication Process. In: SBDD XVI Diamond Workshop, Hasselt, Belgium, Feb 2011,

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Intrinsic DC Operation and Performance Potential of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors. In: 69th Annual Device Research Conference (DRC), Santa Barbara, CA, USA, 20-22 June 2011, pp. 137-138. ISBN 9781612842431 (doi: 10.1109/DRC.2011.5994454)

Moran, D. A. J. , Fox, O. J. L., McLelland, H., Russell, S. and May, P. W. (2011) Intrinsic DC Operation and Performance Potential of 50nm Gate Length Hydrogen-Terminated Diamond Field Effect Transistors. In: UK Diamond Research Conference, Warwick, UK, July 2011, (doi: 10.1109/DRC.2011.5994454)

Moran, D. A. J. , MacLaren, D. A. , Porro, S., Hill, R., McLelland, H., John, P. and Wilson, J. I. B. (2010) Characterisation and Inspection of 50nm Gate-Length Hydrogen Terminated Diamond Field Effect Transistors. In: MRS Fall Meering, Boston MA, USA, 29 Nov - 3 Dec 2010,

Elgaid, K., Holland, M., McLelland, H., Moran, D., Thoms, S., Stanley, C. and Thayne, I. (2006) 50nm GaAs mHEMTs and MMICs for ultra-low power distributed sensor network applications. In: Indium Phosphide & Related Materials, Princeton, USA, Paper TuB2.

Moran, D., McLelland, H., Elgaid, K., Stanley, C. and Thayne, I. (2006) Scaling of self-aligned T-gate InGaAs/InAlAs HEMT technology. In: UK III-V Compound Semiconductors 2006, Sheffield, UK,

Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2005) Low noise W-band MMMIC amplifier using 50nm InP technology for millimeterwave receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 523-525. ISBN 1092-8669

Elgaid, K., Moran, D., McLelland, H., Holland, M. and Thayne, I. (2005) Low noise high performance 50nm T-GATE metamorphic HEMT with cut-off frequency FTOF 440Ghz for millimeterwave imaging receivers applications. In: 2005 INTERNATIONAL CONFERENCE ON INDIUM PHOSPHIDE AND RELATED MATERIALSConference proceedings - indium phosphide and related materials, NEW YORK, pp. 141-143. ISBN 1092-8669

Moran, D., Cao, X., Elgaid, K., Boyd, E., Chen, Y., Thoms, S., McLelland, H., Stanley, C., Holland, M. and Thayne, I. (2005) Sub 100nm III-V HEMT technology: Approaching the Terahertz Regime. In: International Workshop on Terahertz Technology, Osaka, Japan,

Thayne, I., Elgaid, K., Moran, D., Cao, X., Boyd, E., McLelland, H., Holland, M., Thoms, S. and Stanley, C. (2005) 50nm Metamorphic GaAs and InP HEMTs. In: 3rd International Conference for Advanced Materials and Technologies, Singapore,

Boyd, E., Zhou, H., McLelland, H., Moran, D.A.J., Thoms, S. and Thayne, I.G. (2004) Fabrication of 30nm T-gate high electron mobility transistors using a bi-Layer of PMMA and UVIII. In: 2004 IEEE Conference on Optoelectronic and Microelectronic Materials and Devices, Brisbane, Australia, 8-10 December 2004, pp. 25-28. ISBN 0780388208 (doi: 10.1109/COMMAD.2004.1577483)

Cao, X., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) High performance 50nm T-gate In0.52Al0.48As/In0.7Ga0.3As psuedomorphic high electron mobility transistors. In: 16th International Conference on Indium phosphide and Related Materials, Kagoshima, Japan,

Elgaid, K., McLelland, H., Cao, X., Boyd, E., Moran, D., Thoms, S., Zhou, H., Wilkinson, C., Stanley, C. and Thayne, I. (2004) An array-based design methodology for the realisation of 94GHz MMMIC amplifiers. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Elgaid, K., McLelland, H., Cao, X. and Thayne, I. (2004) Integration of a novel, high quality Si3N4 metal insulator metal (MIM) capacitors deposited by (ICP-CVD) at room temperature with 50nm T-gate metamorphic HEMTS to realise monolithic millimetre-wave integrated circuits (MMMICs). In: 16th International Conference on Indium phosphide and Related Materials, Kagoshima, Japan,

Li, X., Elgaid, K., McLelland, H. and Thayne, I. (2004) Surface mass spectrometric analysis of SiCl4/SiF4/O2 dry-etch gate recessed 120nm T-gate HEMTs. In: Microelectronic and Nanoelectronic Engineering 2004, Rotterdam, The Netherlands,

Moran, D., Boyd, E., Elgaid, K., McLelland, H., Stanley, C. and Thayne, I. (2004) 50nm T-gate lattice-matched InP HEMTs with fT of 430GHz using non-annealed ohmic contact process. In: European Gallium Arsenide and other Compound Semiconductors Application Symposium,GaAs 2004, Amsterdam, The Netherlands,

Cao, X., Boyd, E., McLelland, H., Thoms, S., Stanley, C. and Thayne, I. (2003) mm-wave performance of 50nm T-gate AlGaAs/InGaAs pseudomorphic high electron mobility transistors with fT of 200GHz. In: European Microwave Conference, Munich, Germany,

Cao, X., Thoms, S., Macintyre, D., McLelland, H., Boyd, E., Elgaid, K., Hill, R., Stanley, C. and Thayne, I. (2003) Fabrication and performance of 50nm T-gate for high electron mobility transistors. In: Microelectronic and Nanoelectronic Engineering 2003, Cambridge, UK,

Li, X. , Elgaid, K., McLelland, H. and Thayne, I. G. (2003) A Novel Single Step Sol-Gel Process for Silica on Silicon PLC's. In: 14th International Conference on Integrated Optics and Optical Fibre Communication, Rimini, Italy, 22-24 Sept 2003,

Moran, D., Kalna, K., Elgaid, K., McEwan, F., McLelland, H., Zhuang, L., Thayne, I., Stanley, C. and Asenov, A. (2003) Self-aligned 0.12micron T-gate InGaAs/InAlAs HEMT technology utilizing a non-annealed contact strategy. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal, pp. 315-318.

Moran, D. A. J. , Kalna, K., Boyd, E., McEwan, F., McLelland, H., Zhuang, L. L., Stanley, C. R., Asenov, A. and Thayne, I. (2003) Self-aligned 0.12mm T-gate In.53Ga.47As/In.52Al.48As HEMT Technology Utilising a Non Annealed Ohmic Contact Strategy. In: ESSDERC '03 : 33rd Conference on European Solid-State Device Research, Estoril, Portugal, 16-18 September 2003, pp. 315-318. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256877)

Thayne, I. et al. (2003) Advanced III-V HEMT MMIC Technologies for Millemeter-Wave Applications. In: European Microwave Week 2003, Munich, Germany, 6-10 Oct 2003,

Boyd, E., Moran, D., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) 120nm gate length e-beam and nanoimprint T-gate GaAs pHEMTs itilising non-annealed ohmic contacts. In: International Symposium on Compound Semiconductors, Lausanne, Switzerland,

Boyd, E., Moran, D. , McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S. , Stanley, C. R. and Thayne, I. G. (2002) 120nm Gate Length E-Beam and Nanoimprint T-Gate GaAs pHEMTs Utilizing Non-Annealed Ohmic Contacts. In: Compound Semiconductors 2002, Lausanne, Switzerland, 7-10 Oct 2002, ISBN 9780750309424

Burns, G., Chong, H., Edgar, D., Ross, A., Elgaid, K., McLelland, H., Ferguson, S., McEwan, F. and Thayne, I. (2002) Millimetre-wave high frequency photonic crystal antennas. In: IEEE 2002 High Frequency Postgraduate Student Colloquium, London, UK,

Edgar, D. L. et al. (2002) Millimetre-wave Performance of InAlAs/InGaAs HEMTs Using a UVIII/PMMA Bilayer for 70nm T-Gate Fabrication. In: European Microwave Week 2002, Milan, Italy, 23-27 Sept 2002,

Edgar, D. et al. (2002) Millimeter-wave performance of In/AlAs/InGaAs HEMT's using a UVIII/PMMA bilayer for 70nm T-gate fabrication. In: European Microwave Conference, Milan, Italy,

Moran, D., Boyd, E., McLelland, H., Elgaid, K., Chen, Y., Macintyre, D., Thoms, S., Stanley, C. and Thayne, I. (2002) Novel technologies for the realisation of GaAs pHEMTs wtih 20nm self-aligned and nanoimprinted T-gates. In: Micro- and NanoEngineering 2002, Lugano, Switzerland, ISBN 0167-9317

Collier, R.J. et al. (2000) A Study of High Frequency Performance of Coplanar Waveguide as a Function of Substrate Thickness. In: 30th European Microwave Conference, Paris, France, 02-05 Oct 2000, ISBN 9780862132125 (doi: 10.1109/EUMA.2000.338733)

Li, X. , Elgaid, K., McLelland, H. and Thayne, I.G. (2000) Effects of Pressure and Capping Layer Thickness on Sub-Micron T-Gate Recess Etching of GaAs pHEMTs by SiCl4/SiF4/O2 Reactive Ion Etch. In: 26th International Conference on Micro- and Nano-Engineering, Jena, Germany, 18-21 Sept 2000,

Edgar, D.L., Elgaid, K., Williamson, F., Ross, A., McLelland, H., Ferguson, S., Doherty, F. , Thayne, I.G. , Taylor, M.R.S. and Beaumont, S.P. (1999) W-band on Wafer Measurement of Active and Passive Devices. In: IEE Colloquium on Microwave Measurements: Current Techniques and Trends, London, UK, 23 Feb 1999, 2/1-2/6. (doi: 10.1049/ic:19990025)

This list was generated on Sat Apr 20 08:47:03 2024 BST.