Professor Scott Roy

  • Professor of Electronics (Electronic & Nanoscale Engineering)
  • Senior Senate Assessor for Academic Appeals (Academic Services)

telephone: 01413304930
email: Scott.Roy@glasgow.ac.uk

R607a Level 6, Eng -Micro & Nanotechnology, James Watt South Building, Glasgow G12 8QQ

Import to contacts

Research interests

Biography

Scott obtained a B.Sc. in Physics and Electronic Engineering in 1987 from what was then the Department of Natural Philosophy at the University of Glasgow.  He completed Ph.D. studies in 1994, investigating "Engineering aspects of extended single electronic systems" in the Department of Electronics and Electrical Engineering under the supervision of Prof. John Barker.

In the late 90's, he was a Research Assistant with Prof. John Barker and Prof. Asen Asenov on a number of projects, including design and construction of DC-Hypermesh parallel processing machine, Monte Carlo simulation of Si:SiGe HMOS devices and InGaAs HEMTs for VLSI and RF applications, and the development of training programmes in semiconductor device physics using commercial simulators.

He is presently a Reader in the Department of Electronics and Electrical Engineering at the University of Glasgow, and a member of the Device Modelling and Microelectronics Systems Groups.  He has published over 100 papers in the fields of device transport, Monte Carlo simulation, device scaling, bio-nanotechnology, and the development of practical compact models and circuit simulation techniques for nanoscale devices subject to variability.  He serves on the programme committee of ULIS, and has been investigator or co-investigator on grants from SEMATECH, the EU, Fujitsu, and 11 EPSRC grants, 6 of which are currently active.

Publications

List by: Type | Date

Jump to: 2021 | 2020 | 2019 | 2015 | 2014 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004 | 2003 | 2002 | 2001 | 2000 | 1999 | 1998 | 1997
Number of items: 184.

2021

Sng, G. K. E., Chua, S. W., Roy, S. and Lim, L. H. I. (2021) Solar Energy Simulation of Bifacial Panels for Performance Optimisation. In: 47th IEEE Photovoltaic Specialists Conference (PVSC 47), 15 Jun - 21 Aug 2020, ISBN 9781728161167 (doi: 10.1109/PVSC45281.2020.9300749)

2020

Ghannam, R. , Allan, W. and Roy, S. (2020) Exam Setting and Moderation in a Transnational Engineering Programme. Transnational Engineering Education Using Technology Workshop (TREET 2020), Glasgow, UK, 31 Jul 2020. ISBN 9781728188522 (doi: 10.1109/TREET50959.2020.9189755)

2019

Sng, E., Sahadevan, A., Channabasappa Devihosur, S., Rohini, S., Malar, K., Roy, S. and Lim, I. L. H. (2019) Optimisation of Bifacial Photovoltaics Module with Reflective Layer in Outdoor Performance. In: 36th European PV Solar Energy Conference and Exhibition (EUPVSEC 2019), Marseille, France, 09-13 Sep 2019, pp. 1007-1011. ISBN 9783936338607 (doi: 10.4229/EUPVSEC20192019-4AV.1.12)

2015

Heidari, H. , Wacker, N., Roy, S. and Dahiya, R. (2015) Towards Bendable CMOS Magnetic Sensors. In: IEEE Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), Glasgow, UK, 29 Jun - 02 Jul 2015, pp. 314-317. (doi: 10.1109/PRIME.2015.7251398)

2014

Wang, X., Brown, A. R., Cheng, B., Roy, S. and Asenov, A. (2014) Drain bias effects on statistical variability and reliability and related subthreshold variability in 20-nm bulk planar MOSFETs. Solid-State Electronics, 98, pp. 99-105. (doi: 10.1016/j.sse.2014.04.017)

Meehan, K., Davies, J. H. , Roy, S., Marsh, J. H. , Li, Y. , Gao, S., Zhou, D., Zhao, Z., Liu, X. and Luo, F. (2014) Merging Pedagogical Approaches: University of Glasgow-UESTC Joint Education Programme in Electronics and Electrical Engineering. In: 2014 IEEE Frontiers in Education Conference, Madrid, Spain, 22-25 Oct. 2014, pp. 1-5. (doi: 10.1109/fie.2014.7044387)

Xiao, Y., Trefzer, M. A., Roy, S., Walker, J. A., Bale, S. J. and Tyrrell, A. M. (2014) Circuit Optimization Using Device Layout Motifs. 2014 5th European Workshop on CMOS Variability (VARI), Palma de Mallorca, Spain, 29 Sep - 01 Oct 2014. pp. 1-6. (doi: 10.1109/VARI.2014.6957081)

2012

Martinez, A., Aldegunde, M., Brown, A., Roy, S. and Asenov, A. (2012) NEGF simulations of a junctionless Si gate-all-around nanowire transistor with discrete dopants. Solid-State Electronics, 71, pp. 101-105. (doi: 10.1016/j.sse.2011.10.028)

Moore, I., Millar, C., Roy, S. and Asenov, A. (2012) FET based nano-pore sensing: a 3D simulation study. Journal of Computational Electronics, 11(3), pp. 266-271. (doi: 10.1007/s10825-012-0405-z)

2011

Asenov, P., Adamu-Lema, F., Roy, S., Millar, C., Asenov, A. , Roy, G., Kovac, U. and Reid, D. (2011) The effect of compact modelling strategy on SNM and Read Current variability in Modern SRAM. In: 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, 8-10 Sep 2011, pp. 283-286. ISBN 9781612844190 (doi: 10.1109/SISPAD.2011.6035024)

Aghamoradi, F., McGregor, I., Roy, S. and Elgaid, K. (2011) Low-loss grounded elevated coplanar waveguide for sub-millimeter wave MMIC applications. Progress In Electromagnetics Research B, 34, pp. 103-123. (doi: 10.2528/PIERB11072111)

Reid, D., Millar, C., Roy, S. and Asenov, A. (2011) Statistical enhancement of the evaluation of combined RDD- and LER-induced VT variability: lessons from 10⁵ sample simulations. IEEE Transactions on Electron Devices, 58(8), 2257 -2265. (doi: 10.1109/TED.2011.2147317)

Wang, X., Roy, S., Brown, A.R. and Asenov, A. (2011) Impact of STI on statistical variability and reliability of decananometer MOSFETs. IEEE Electron Device Letters, 32(4), pp. 479-481. (doi: 10.1109/LED.2011.2108256)

Riddet, C., Alexander, C., Brown, A., Roy, S. and Asenov, A. (2011) Simulation of "ab initio" quantum confinement scattering in UTB MOSFETs using three-dimensional ensemble Monte Carlo. IEEE Transactions on Electron Devices, 58(3), pp. 600-608. (doi: 10.1109/TED.2010.2095422)

Hong, F., Cheng, B., Roy, S. and Cumming, D. (2011) An analytical mismatch model of nano-CMOS device under impact of intrinsic device variability. In: 2011 IEEE International Symposium on Circuits and Systems (ISCAS), Rio De Janerio, Brazil, 15-18 May 2011, pp. 2257-2260. (doi: 10.1109/ISCAS.2011.5938051)

2010

Markov, S., Roy, S. and Asenov, A. (2010) Direct tunnelling gate leakage variability in nano-CMOS transistors. IEEE Transactions on Electron Devices, 57(11), pp. 3106-3114. (doi: 10.1109/TED.2010.2075932)

Reid, D., Millar, C., Roy, S. and Asenov, A. (2010) Understanding LER-induced MOSFET VT variability - part I: three-dimensional simulation of large statistical samples. IEEE Transactions on Electron Devices, 57(11), pp. 2801-2807. (doi: 10.1109/TED.2010.2067731)

Reid, D., Millar, C., Roy, S. and Asenov, A. (2010) Understanding LER-induced MOSFET VT variability - part II: reconstructing the distribution. IEEE Transactions on Electron Devices, 57(11), pp. 2808-2813. (doi: 10.1109/TED.2010.2067732)

Cheng, B., Brown, A.R., Roy, S. and Asenov, A., (2010) PBTI/NBTI-related variability in TB-SOI and DG MOSFETs. IEEE Electron Device Letters, 31(5), pp. 408-410. (doi: 10.1109/LED.2010.2043812)

Bukhori, M.F., Roy, S. and Asenov, A. (2010) Simulation of statistical aspects of charge trapping and related degradation in bulk MOSFETs in the presence of random discrete dopants. IEEE Transactions on Electron Devices, 57(4), pp. 795-803. (doi: 10.1109/TED.2010.2041859)

Bindu, B., Cheng, B., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Parameter set and data sampling strategy for accurate yet efficient statistical MOSFET compact model extraction. Solid-State Electronics, 54(3), pp. 307-315. (doi: 10.1016/j.sse.2009.09.028)

Azam, T., Cheng, B., Roy, S. and Cumming, D.R.S. (2010) Robust asymmetric 6T-SRAM cell for low-power operation in nano-CMOS technologies. Electronics Letters, 46(4), pp. 273-274. (doi: 10.1049/el.2010.2817)

Asenov, A. , Cheng, B., Dideban, D., Kovac, U., Moezi, N., Millar, C., Roy, G., Brown, A. and Roy, S. (2010) Modeling and simulation of transistor and circuit variability and Reliability. In: Custom Integrated Circuit Conference (CICC), San Jose, CA, USA, 19-22 September 2010, pp. 1-8. (doi: 10.1109/CICC.2010.5617627)

Asenov, P., Kamsani, N.A., Reid, D., Millar, C., Roy, S. and Asenov, A. (2010) Combining Process and Statistical Variability in the Evaluation of the Effectiveness of Corners in Digital Circuit Parametric Yield Analysis. In: ESSDERC 2010, Sevilla, 13-17 September,

Asenov, P., Reid, D., Millar, C., Roy, S., Liu, Z., Furber, S. and Asenov, A. (2010) Generic Aspects of Digital Circuit Behaviour In the Presence of Statistical Variability. In: VARI 2010,

Cheng, B., Dideban, D., Moezi, N., Millar, C., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Capturing intrinsic parameter fluctuations using the PSP compact model. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2010), Dresden, Germany, 8-12 March 2010, pp. 650-653.

Cheng, B., Moezi, N., Dideban, D., Millar, C., Roy, S. and Asenov, A. (2010) Impact of Statistical Parameter set Selection on Accuracy of Statistical Compact Modelling. In: MOS-AK Workshop, Sapienza University, Rome, Italy, 8-9 April 2010,

Cheng, B.J., Dideban, D., Moezi, N., Millar, C., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Statistical-variability compact-modeling strategies for BSIM4 and PSP. IEEE Design and Test of Computers, 27(2), pp. 26-35. (doi: 10.1109/MDT.2010.53)

Dideban, D., Cheng, B., Moezi, N., Kamsani, N.A., Millar, C., Roy, S. and Asenov, A. (2010) Impact of input slew rate on statistical timing and power dissipation variability in nanoCMOS. In: 11th International Conference on Ultimate Integration on Silicon, Glasgow, Scotland, 17-19 Mar 2010,

Kamsani, N.A., Cheng, B., Millar, C., Moezi, N., Wang, X., Roy, S. and Asenov, A. (2010) Impact of slew rate definition on the accuracy of nanoCMOS inverter timing simulations. In: 11th International Conference on Ultimate Integration on Silicon, Glasgow, Scotland, 17-19 Mar 2010, p. 53.

Magill, J. and Roy, S. (2010) Chips for everyone: a multifaceted approach in electrical engineering outreach. IEEE Transactions on Education, 53(1), pp. 114-119. (doi: 10.1109/TE.2009.2025267)

Moore, I., Millar, C., Roy, S. and Asenov, A. (2010) Brownian noise in FET based nano-pore sensing a 3D simulation study. In: 14th International Workshop on Computational Electronics, Pisa, Italy, 27-29 Oct 2010,

Moore, I., Millar, C., Roy, S. and Asenov, A. (2010) Integrating drift diffusion and Brownian simulations for sensory applications. In: 11th International Conference on Ultimate Integration on Silicon, Glasgow, UK, 17-19 Mar 2010, pp. 85-88.

Roy, S. (2010) Influence of atomic fluctuation on operation nanoscaled devices. In: 6th International SemiOI Workshop, Kiev, Ukraine, 26-30 April 2010,

Roy, S. (2010) Trends in the influence of atomic fluctuations on the operation and reliability of nanoscale devices and circuits. In: HIPEAC Task Force on Reliability and Availability, Edinburgh, UK, 3-5 May 2010,

Sinnott, R.O., Stewart, G., Asenov, A., Millar, C., Reid, D., Roy, G., Roy, S., Davenhall, C., Harbulot, B. and Jones, M. (2010) E-infrastructure support for nanoCMOS device and circuit simulations. In: Hamza, M.H. (ed.) Proceedings of the Conference on Parallel and Distributed Computing and Networks, Innsbruck, Austria, 16-18th February 2010. ACTA Press: Anaheim, USA. ISBN 9780889868342

Tang, T.B., Murray, A.F. and Roy, S. (2010) Methodology of statistical RTS noise analysis with charge-carrier trapping models. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(5), pp. 1062-1070.

2009

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Analysis of threshold voltage distribution due to random dopants: a 100 000-sample 3-D simulation study. IEEE Transactions on Electron Devices, 56(10), pp. 2255-2263. (doi: 10.1109/TED.2009.2027973)

Sinnott, R.O., Stewart, G., Asenov, A., Millar, C., Reid, D., Roy, G., Roy, S., Davenhall, C., Harbulot, B. and Jones, M. (2009) Multi-level simulations to support nanoCMOS electronics research. In: 2009 ASME Design Engineering Technical Conferences and Computers and Information in Engineering Conference DETC2009, August 30-September 2, 2009, San Diego, California, USA. American Society of Mechanical Engineers: New York, USA. ISBN 9780791838563

Cheng, B., Roy, S., Brown, A.R., Millar, C. and Asenov, A. (2009) Evaluation of statistical variability in 32 and 22 nm technology generation LSTP MOSFETs. Solid-State Electronics, 53(7), pp. 767-772. (doi: 10.1016/j.sse.2009.03.008)

Reid, D., Millar, C., Roy, S., Roy, G., Sinnott, R.O., Stewart, G., Stewart, G. and Asenov, A. (2009) Enabling cutting-edge semiconductor simulation through grid technology. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 367(1897), pp. 2573-2584. (doi: 10.1098/rsta.2009.0031)

Alexander, C., Kovac, U., Roy, G., Roy, S. and Asenov, A. (2009) A unified density gradient approach to 'ab-initio' ionized impurity scattering in 3D MC simulations of nano-CMOS variability. In: Ultimate Integration of Silicon: ULIS 2009, Aachen, Germany, 18-20 Mar 2009, pp. 43-46. (doi: 10.1109/ULIS.2009.4897535)

Asenov, A. , Brown, A., Roy, G., Cheng, B., Alexander, C., Riddet, C., Kovac, U., Martinez, A., Seoane, N. and Roy, S. (2009) Simulation of statistical variability in nano-CMOS transistors using drift-diffusion, Monte Carlo and non-equilibrium Green's function techniques. Journal of Computational Electronics, 8(3-4), pp. 349-373. (doi: 10.1007/s10825-009-0292-0)

Bukhori, M.F., Roy, S. and Asenov, A. (2009) Simulation of statistical aspects of reliability in nano CMOS. In: IEEE International Integrated Reliability Workshop (IRW '09), S. Lake Tahoe, CA., U.S.A., 18-22 Oct 2009, pp. 82-85. ISBN 9781424439218 (doi: 10.1109/IRWS.2009.5383028)

Cheng, B., Moezi, N., Dideban, D., Roy, G., Roy, S. and Asenov, A. (2009) Benchmarking the Accuracy of PCA Generated Statistical Compact Model Parameters Against Physical Device Simulation and Directly Extracted Statistical Parameters. In: Simulation of Semiconductor Processes and Devices, 2009, San Diego, CA, 9-11th September, 2009, pp. 1-4. ISBN 1946-1569 (doi: 10.1109/SISPAD.2009.5290230)

Graham, D., Strid, P., Roy, S. and Rodriguez-Salazar, F. (2009) A low-tech solution to avoid the severe impact of transient errors on the IP interconnect. In: International Conference on Dependable Systems and Networks, 2009. DSN '09. IEEE/IFI2009IEEE/IFIP International Conference on Dependable Systems & Networks, 2009. DSN '09, Lisbon, Portugal, 29 Jun - 2 Jul 2009, pp. 478-483. ISBN 9781424444229 (doi: 10.1109/DSN.2009.5270301)

Kamsani, N.A., Cheng, B.J., Roy, S. and Asenov, A. (2009) Impact of Random Dopant Induced Statistical Variability on Inverter Switching Trajectories and Timing Variability. In: ISCAS: IEEE International Symposium on Circuits and Systems, 2009, pp. 577-580.

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Efficient simulation of 6σ VT distribution due to random descrete dopants. In: 10th International Conference on Ultimate Integration of Silicon, 2009. ULIS 2009., Aachen, Germany, 18-20 Mar 2009, pp. 23-26. (doi: 10.1109/ULIS.2009.4897530)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Statistical enhancement of combined simulations of RDD and LER variability: what can simulation of a 105 sample teach us? In: Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, USA, 7-9 December 2009. IEEE Computer Society, pp. 657-660. ISBN 9781424456390 (doi: 10.1109/IEDM.2009.5424241)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Understanding LER-induced statistical variability: a 35,000 sample 3D simulation study. In: European Solid State Device Research Conference, 2009. ESSDERC '09, Athens, Greece, 14-18 Sep 2009, pp. 423-426. (doi: 10.1109/ESSDERC.2009.5331515)

Trinder, J. , Magill, J. and Roy, S. (2009) Using automatic logging to collect information on mobile device usage for learning. In: Vavoula, G., Pachler, N. and Kukulska-Hulme, A. (eds.) Researching Mobile Learning. Peter Lang: Oxford, pp. 241-256. ISBN 9783039118328

Twaddle, F., Cumming, D., Roy, S., Asenov, A. and Drysdale, T. (2009) Variability of short-range interconnects. In: 13th International Workshop on Computational Electronics, Beijing, China, 27-29 May 2009,

Twaddle, F.J., Cumming, D.R.S., Roy, S., Asenov, A. and Drysdale, T.D. (2009) RC variability of short-range interconnects. In: IWCE 2009: 13th International Workshop on Computational Electronics, Beijing, China, 27-29 May 2009, pp. 121-124. (doi: 10.1109/IWCE.2009.5091143)

Wang, X.S., Roy, S. and Asenov, A. (2009) Impact of strain on the performance of high-k/metal replacement gate MOSFETs. In: 10th International Conference on Ultimate Integration of Silicon, Aachen, Germany, 18-20 March 2009, pp. 289-292. (doi: 10.1109/ULIS.2009.4897592)

2008

Sinnott, R.O. et al. (2008) Scalable, security-oriented solutions for nanoCMOS electronics. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sept 2008,

Riddet, C., Brown, A. R., Roy, S. and Asenov, A. (2008) Boundary conditions for Density Gradient corrections in 3D Monte Carlo simulations. Journal of Computational Electronics, 7(3), pp. 231-235. (doi: 10.1007/s10825-008-0222-6)

Asenov, A. et al. (2008) Advanced simulation of statistical variability and reliability in nano CMOS transistors. In: IEDM 2008. IEEE International Electron Devices Meeting, 2008, San Francisco, CA, 15-17 Dec 2008, p. 421. ISBN 9781424423774 (doi: 10.1109/IEDM.2008.4796712)

Asenov, A. et al. (2008) Meeting the design challenges of nano-CMOS electronics, design automation and test in Europe. In: Workshop on Impact of Process Variability on Design and Test, Munich, Germany, 10-14 Mar 2008,

Bindu, B., Cheng, B., Roy, G., Wang, X., Roy, S. and Asenov, A. (2008) An efficient data sampling strategy for statistical parameter extraction of nano-MOSFETs. In: IEEE Workshop on Compact Modeling, Hakone, Japan, 8 Sept 2008,

Bukhori, M. F., Roy, S. and Asenov, A. (2008) Statistical simulation of RTS amplitude distribution in realistic bulk MOSFETs subject to random discreet dopants. In: 9th International Conference on Ultimate Integration of Silicon, 2008. ULIS 2008., Udine, Italy, pp. 171-174. ISBN 978-1-4244-1729-2 (doi: 10.1109/ULIS.2008.4527166)

Bukhori, M. F., Roy, S. and Asenov, A. (2008) Statistical aspects of reliability in bulk MOSFETs with multiple defect states and random discrete dopants. Microelectronics Reliability, 48(8-9), pp. 1549-1552. (doi: 10.1016/j.microrel.2008.06.029)

Cheng, B., Roy, S., Brown, A., Millar, C. and Asenov, A. (2008) Evaluation of Intrinsic Parameter Fluctuations on 45, 32 and 22nm Technology Node LP N-MOSFETs. In: ESSDERC 2008: Proceedings of the 38th European Solid-State Device Research Conference. Series: Proceedings of the European Solid-State Device Research Conference. IEEE: New York, pp. 47-50. ISBN 978-1-4244-2363-7 (doi: 10.1109/ESSDERC.2008.4681695)

Cheng, B., Roy, S., Brown, A.R., Millar, C. and Asenov, A. (2008) Statistical variations in 32nm thin-body SOI devices and SRAM cells. In: 9th International Conference on Solid-State and Integrated-Circuit Technology, 2008. ICSICT 2008. IEEE, pp. 389-392. ISBN 9781424421855 (doi: 10.1109/ICSICT.2008.4734546)

Drysdale, T. D., Brown, A. R., Roy, G., Roy, S. and Asenov, A. (2008) Capacitance variability of short range interconnects. Journal of Computational Electronics, 7(3), pp. 124-127. (doi: 10.1007/s10825-007-0154-6)

Graham, D., Bradley, D., Roy, S., Strid, P. and Rodriguez-Salazar, F. (2008) Design for reliability: an analysis of logical masking on transient faults. In: IEEE Workshop on Silicon Errors in Logic - System Effects, Austin, TX, USA, 26-27 March 2008,

Hamid, N.H., Murray, A. E. and Roy, S. (2008) Time-domain modeling of low-frequency noise in deep-submicrometer MOSFET. IEEE Transactions on Circuits and Systems I: Regular Papers, 55(1), pp. 233-245. (doi: 10.1109/TCSI.2007.910543)

Kamsani, N.A., Cheng, B., Roy, S. and Asenov, A. (2008) Statistical circuit simulation with supply-voltage scaling in nanometre MOSFET devices under the influence of random dopant fluctuations. In: Faible Tension Faible Consommation (FTFC) 2008, Louvain La Neuve, Belgium, 26-28 May 2008, pp. 95-99.

Kamsani, N.A., Cheng, B., Roy, S. and Asenov, A. (2008) Statistical circuit simulation with the effect of random discrete dopants in nanometer MOSFET devices. In: Design Automation and Test in Europe: Workshop W2, Impact of Process Variability on Design and Test, Munich, Germany, 10-14 March 2008,

Kovac, U., Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2008) Statistical simulation of random dopant induced threshold voltage fluctuations for 35 nm channel length MOSFET. Microelectronics Reliability, 48(8-9), pp. 1572-1575. (doi: 10.1016/j.microrel.2008.06.027)

Magill, J., Camrass, L. and Roy, S. (2008) Chips with Flair: Taking Semiconductor Technology to New Audiences. [Exhibitions]

Markov, S., Roy, S., Fiegna, C., Sangiorgi, E. and Asenov, A. (2008) On the sub-nm EOT scaling of high-kappa gate stacks. In: International Conference on the Ultimate Integration of Silicon, Udine, Italy, 13-14 Mar 2008,

Markov, S., Sushko, P.V., Roy, S., Fiegna, C., Sangiorgi, E., Shluger, A.L. and Asenov, A. (2008) Si-Sio(2) interface band-gap transition - effects on MOS inversion layer. Physica Status Solidi A: Applications and Materials Science, 205(6), pp. 1290-1295. (doi: 10.1002/pssa.200778154)

Millar, C., Madathil, R., Beckstein, O., Sansom, M. S. P., Roy, S. and Asenov, A. (2008) Brownian simulation of charge transport in α-Haemolysin. Journal of Computational Electronics, 7(1), pp. 28-33. (doi: 10.1007/s10825-008-0230-6)

Millar, C., Reid, D., Roy, G., Roy, S. and Asenov, A. (2008) Accurate statistical description of random dopant-induced threshold voltage variability. IEEE Electron Device Letters, 29(8), pp. 946-948. (doi: 10.1109/LED.2008.2001030)

Reid, D., Millar, C., Asenov, A. , Roy, S., Roy, G., Sinnott, R.O. and Stewart, G. (2008) Supporting statistical semiconductor device analysis using EGEE and OMII-UK middleware. In: EGEE User Conference, Clermond Ferrand, France, Feb 2008,

Reid, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) An accurate statistical analysis of random dopant induced variability in 140,000 13nm MOSFET. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418478)

Reid, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) Prediction of random dopant induced threshold voltage fluctuations in NanoCMOS transistors. In: Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices, 9-11 Sept 2008, Hakone, Japan. IEEE Computer Society: Piscataway, N.J., USA, pp. 21-24. ISBN 9781424417537 (doi: 10.1109/SISPAD.2008.4648227)

Reid, D., Millar, C., Roy, S., Roy, G., Sinnott, R., Stewart, G. and Asenov, A. (2008) An accurate statistical analysis of random dopant induced variability in 140,00013nm MOSFETs. IEEE, pp. 79-80. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418478)

Reid, D., Sinnott, R.O., Millar, C., Roy, G., Roy, S., Stewart, G., Stewart, G. and Asenov, A. (2008) Enabling cutting-edge semiconductor simulation through grid technology. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sep 2008,

Sinnott, R.O. et al. (2008) Secure, performance-oriented data management for nanoCMOS electronics. In: Fourth IEEE International Conference on E-Science: 7-12 December 2008, Indiana, USA. IEEE Computer Society: Piscataway, N.J., USA, pp. 87-94. (doi: 10.1109/eScience.2008.21)

Sinnott, R.O. et al. (2008) Integrating security solutions to support nanoCMOS electronics research. In: Proceedings of the 2008 International Symposium on Parallel and Distributed Processing with Applications: 10-12 December 2008, Sydney, NSW, Australia. IEEE Computer Society: Los Alamitos, USA, pp. 71-79. ISBN 9780769534718 (doi: 10.1109/ISPA.2008.132)

Sinnott, R.O., Berry, D., Harbulot, B., Millar, C., Reid, D., Roy, G., Roy, S., Stewart, G. and Asenov, A. (2008) Meeting the design challenges of nanoCMOS electronics through secure large-scale simulation and data management. In: EGEE'08, Istanbul, Turkey, 22-26 Sep 2008,

Trinder, J., Roy, S. and Magill, J. (2008) (s)Logging away at mobile learning. In: JISC Innovative e-Learning with Mobile and Wireless Technologies, Glasgow, UK, May 2008,

Trinder, J., Roy, S. and Magill, J. (2008) Visualising and hearing log data. In: mLearn 2008 Conference Proceedings. University of Wolverhampton School of Computing and Information Technology. ISBN 9780956027207

Wang, X., Cheng, B., Roy, S. and Asenov, A. (2008) Simulation of strain enhanced variability in nMOSFETs. In: 9th International Conference on Ultimate Integration of Silicon, 2008. ULIS 2008., Udine, Italy, 12-14 March 2008, pp. 89-92. (doi: 10.1109/ULIS.2008.4527147)

Wang, X., Roy, S. and Asenov, A. (2008) High performance MOSFET scaling study from bulk 45nm technology generation. In: Proceeding of the 9th International Conference on Solid-State and Integrated-Circuit Technology: 20-23 October 2008, Beijing, China. IEEE Computer Society: Piscataway, N.J., USA, pp. 484-487. ISBN 9781424421855 (doi: 10.1109/ICSICT.2008.4734586)

Wang, X., Roy, S. and Asenov, A. (2008) Impact of strain on LER variability in bulk MOSFETs. In: Proceedings of the 38th European Solid-state Device Research Conference, 15-19 September 2008, Edinburgh, UK. IEEE Computer Society: Piscataway, N.J., USA, pp. 190-193. ISBN 9781424423637 (doi: 10.1109/ESSDERC.2008.4681730)

2007

Sinnott, R.O., Asenov, A. , Brown, A., Millar, C., Roy, G., Roy, S. and Stewart, G. (2007) Grid infrastructures for the electronics domain: requirements and early prototypes from an EPSRC pilot project. In: Cox, S.J. (ed.) Proceedings of the UK e-Science All Hands Meeting 2007, Nottingham, UK, 10th-13th September 2007. National e-Science Centre: Edinburgh. ISBN 9780955398834

Ferrari, G., Watling, J.R., Roy, S., Barker, J.R. and Asenov, A. (2007) Beyond SiO2 technology: simulation of the impact of high-κ dielectrics on mobility. Journal of Non-Crystalline Solids, 353(5-7), pp. 630-634. (doi: 10.1016/j.jnoncrysol.2006.10.044)

Markov, S., Brown, A.R., Cheng, B.J., Roy, G., Roy, S. and Asenov, A. (2007) Three-dimensional statistical simulation of gate leakage fluctuations due to combined interface roughness and random dopants. Japanese Journal of Applied Physics, 46(4S), pp. 2112-2116. (doi: 10.1143/JJAP.46.2112)

Asenov, A. et al. (2007) Meeting the design challenges of nanoCMOS electronics. In: Third International Nanotechnology Conference on Communication and Cooperation, Brussels, Belgium, 17-19 Apr 2007,

Cheng, B., Roy, S. and Asenov, A. (2007) CMOS 6-T SRAM cell design subject to ''atomistic" fluctuations. Solid-State Electronics, 51, pp. 565-571. (doi: 10.1016/j.sse.2007.02.009)

Ferrari, G., Watling, J.R., Roy, S., Barker, J.R. and Asenov, A. (2007) Beyond SiO2 technology: Simulation of the impact of high-kappa dielectrics on mobility. Journal of Non-Crystalline Solids, 353, pp. 630-634. (doi: 10.1016/j.jnoncrysol.2006.10.004)

Han, L., Asenov, A. , Berry, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O. and Stewart, G. (2007) Towards a grid-enabled simulation framework for nano-CMOS electronics. In: 3rd IEEE International Conference on e-Science and Grid Computing, Bangalore, India, 10-13 Dec 2007, pp. 305-311. (doi: 10.1109/E-SCIENCE.2007.78)

Magill, J. and Roy, S. (2007) Chips for everyone: developing creativity in engineering and initial teacher education. Journal of Further and Higher Education, 2(1), pp. 40-46.

Millar, C., Roy, S., Brown, A.R. and Asenov, A. (2007) Simulating the bio-nanoelectronic interface. Journal of Physics: Condensed Matter, 19, (doi: 10.1088/0953-8984/19/21/215205)

Riddet, C., Brown, A.R., Alexander, C.L., Watling, J.R., Roy, S. and Asenov, A. (2007) 3-D Monte Carlo simulation of the impact of quantum confinement scattering on the magnitude of current fluctuations in double gate MOSFETs. IEEE Transactions on Nanotechnology, 6, pp. 48-55. (doi: 10.1109/TNANO.2006.886739)

Samsudin, K., Adamu-Lerna, F., Brown, A.R., Roy, S. and Asenov, A. (2007) Combined sources of intrinsic parameter fluctuations in sub-25 nm generation UTB-SOI MOSFETs: A statistical simulation study. Solid-State Electronics, 51, pp. 611-616. (doi: 10.1016/j.sse.2007.02.022)

2006

Roy, G., Brown, A.R., Adamu-Lema, F., Roy, S. and Asenov, A. (2006) Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional nano-MOSFETs. IEEE Transactions on Electron Devices, 53(12), pp. 3063-3070. (doi: 10.1109/TED.2006.885683)

Cheng, B., Roy, S. and Asenov, A. (2006) The impact of intrinsic parameter fluctuations on decananometer circuits and circuit modelling techniques. In: Mixed Design of Integrated Circuits and System, MIXDES 2006, Gdynia, Poland, pp. 117-121. ISBN 88-900874-0-8

Cheng, B., Roy, S. and Asenov, A. (2006) Low power, high density CMOS 6-T SRAM cell design subject to 'atomistic' fluctuations. In: 7th European Workshop on ULtimate Integration of Silicon, ULIS 2006, Grenoble, France, pp. 33-36.

Cheng, B., Roy, S., Roy, G., Brown, A. and Asenov, A. (2006) Design consideration of 6-T SRAM towards the End Of Bulk CMOS Technology scaling subjected to randon dopant fluctuations. In: 34th European Solid State Devices Research Conference, Montreux, Switzerland, pp. 258-261.

Ferrari, G., Watling, J., Roy, S., Barker, J. and Asenov, A. (2006) Beyond SiO2 technology: The impact of high-k dielectrics. In: 6th symposium SiO2 , advanced dielectrics and related devices : SiO2006, Palermo, Italy,

Ferrari, G., Watling, J., Roy, S., Barker, J., Zeitzoff, P., Bersuker, G. and Asenov, A. (2006) Monte Carlo study of mobility in Si devices with HfO2 based oxides. In: E-MRS IUMRS ICEM 2006, Nice, France, Symposium.

Ferrari, G., Watling, J., Roy, S., Barker, J., Zeitzoff, P., Bersuker, G. and Asenov, A. (2006) Monte Carlo study of mobility in Si devices with HfO2-based oxides. Materials Science in Semiconductor Processing, 9, pp. 995-999. (doi: 10.1016/j.mssp.2006.10.035)

Ferrari, G., Watling, J., Roy, S., Barker, J., Zeitzoff, P., Bersuker, G. and Asenov, A. (2006) On the impact of high-k gate stacks on mobility: a Monte Carlo study including coupled SO phonon-plasmon scattering. In: 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 111.

Magill, J. and Roy, S. (2006) Chips for everyone ? an innovative approach to development of public engagement events. In: Participatory approaches in Science and Technology, Edinburgh, UK,

Markov, S., Brown, A., Cheng, B., Roy, G., Roy, S. and Asenov, A. (2006) 3D statistical simulation of gate leakage fluctutations due to combined interface roughness and random dopants. In: International Conference on Solid State Devices and Materials. (SSDM 2006)., Yokohama,Japan, pp. 362-363.

Millar, C., Roy, S. and Asenov, A. (2006) Simulation of Bio-Nano-CMOS devices. In: E-MRS IUMRS ICEM 2006, Nice, France, Symposium.

Millar, C., Roy, S., Beckstein, O., Sansom, M. and Asenov, A. (2006) Continuum versus particle simulation of model nano-pores. In: 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 367.

Paluchowski, S., Milgrew, M., Roy, S. and Cumming, D. (2006) CMOS combinational logic design for GaAs heterostructure MOSFET technology. In: Conference on Optoelectronic and Microelectronic Materials and Devices, COMMAD'06, Perth, Australia,

Riddet, C., Brown, A., Alexander, C., Roy, S. and Asenov, A. (2006) Efficient density gradient quantum corrections for 3D Monte Carlo simulations. In: International Conference on Simulation of Semiconductor Processes and Devices, SISPAD 2006, California,USA,

Roy, S. (2006) Intrinsic Parameter Fluctuations in Conventional MOSFETs until end of the ITRS. Journal of Physics: Conference Series, 38(1), pp. 188-191. (doi: 10.1088/1742-6596/38/1/045)

Roy, S., Cheng, B. and Asenov, A. (2006) Impact of intrinsic parameter fluctuation in nano-CMOS devices on circuits and systems. In: International Topical Workshop on Tera- and Nano- Devices: Physics and Modelling, Aizu-Wakamatsu, Japan, pp. 24-25.

Samsudin, K., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2006) Intrinsic parameter fluctuations in sub-10nm generation UTB SOI MOSFETs. In: 7 th European Workshop on ULtimate Integration of Silicon, ULIS 2006, Grenoble, France, pp. 93-96. ISBN 88-900874-0-8

Samsudin, K., Cheng, B., Brown, A.R., Roy, S. and Asenov, A. (2006) Integrating intrinsic parameter fluctuation description into BSIMSOI to forecast sub-15 nm UTB SOI based 6T SRAM operation. Solid-State Electronics, 50, pp. 86-93. (doi: 10.1016/j.sse.2005.10.048)

Samsudin, K., Cheng, B., Brown, A.R., Roy, S. and Asenov, A. (2006) Sub-25 nm UTB SOISRAM cell under the influence of discrete random dopants. Solid-State Electronics, 50, pp. 660-667. (doi: 10.1016/j.sse.2006.03.019)

Sinnott, R.O. et al. (2006) Meeting the design challenges of nano-CMOS electronics: an introduction to an upcoming EPSRC pilot project. In: Cox, S.J. (ed.) Proceedings of the UK e-Science All Hands Meeting 2006 : Nottingham, UK, 18th-21st September. National e-Science Centre: Edinburgh. ISBN 9780955398810

Trinder, J., Magill, J. and Roy, S. (2006) A call to arms for handheld devices. In: International Computer Assisted Assessment Conference, Loughborough, UK, pp. 411-416. ISBN 0-9539572-5-X

2005

Cheng, B., Roy, S., Roy, G., Adamu-Lema, F. and Asenov, A. (2005) Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells. Solid-State Electronics, 49(5), pp. 740-746. (doi: 10.1016/j.sse.2004.09.005)

Barker, J., Watling, J., Brown, A., Roy, S., Zeitzoff, P., Bersuker, G. and Asenov, A. (2005) Monte Carlo study of coupled SO phonon-plasmon scattering in Si MOSFETs with high k dielectric gate stacks: hot electron and disorder effects. In: 14th International Conference on Nonequilibrium Carrier Dynamics in Semiconductors - HCIS, Chicago, USA,

Hamid, N. H., Murray, A.F., Laurenson, D., Roy, S. and Cheng, B. (2005) Probabilistic computing with future deep sub-micrometer devices: a modelling approach. In: IEEE International Symposium on Circuits and Systems, Kobe, Japan, 23-26 May, pp. 2510-2513. ISBN 0780388348 (doi: 10.1109/ISCAS.2005.1465136)

Hamid, N., Murray, A., Laurenson, D. and Roy, S. (2005) Probabilistic neural computing with future deep sub-micrometer MOSFETs: A modelling approach. In: IEEE International Symposiumon Circuits And Systems 2005, Kobe, Japan,

Millar, C., Asenov, A. and Roy, S. (2005) Self-consistent particle simulation of ion channels. Journal of Computational and Theoretical Nanoscience, 2, pp. 56-67. (doi: 10.1166/jctn.2005.004)

Millar, C., Asenov, A., Roy, S. and Brown, A. (2005) Simulating the bio-nano-CMOS interface. In: 5th IEEE conference on Nanotechnology, Nagoya, Japan,

Riddet, C., Brown, A., Alexander, C., Watling, J., Roy, S. and Asenov, A. (2005) Impact of quantum confinement scattering on the magnitude of current fluctuations in double gate MOSFETs. In: Silicon Nanoelectronics Workshop 2005, Kyoto, Japan,

Roy, G., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2005) Intrinsic parameter fluctuations in conventional MOSFETs until the end of the ITRS. In: New Phenomena in Mesoscopic Structures - 7 (NPMS) and the fifth in the series of Surfaces and Interfaces of Mesoscopic Devices (SIMD), NPMS-7/SIMD-5, Maui, Hawaii,

Roy, G., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2005) Simulation of combined sources of intrinsic parameter fluctuations in 'real' 35nm MOSFET. In: European Solid-State Device Research Conference 2005 - ESSDERC2005, Grenoble, France,

Samsudin, K., Cheng, B., Brown, A., Roy, S. and Asenov, A. (2005) Impact of body thickness fluctuations in nanometer scale UTB SOI MOSFETs on SRAM cell functionality. In: 6th European Conference on ULtimate Integration of Silicon - ULIS 2005, Bologna, Italy,

Samsudin, K., Cheng, B., Brown, A.R., Roy, S. and Asenov, A. (2005) Impact of random dopant induced fluctuations on sub-15nm UTB SOI 6T SRAM cells. In: IEEE International SOI Conference, Honolulu, Hawaii, 3-6 October, pp. 60-61. ISBN 0780392124 (doi: 10.1109/SOI.2005.1563533)

Samsudin, K., Cheng, B., Brown, A.R., Roy, S. and Asenov, A. (2005) UTB SOI SRAM cell stability under the influence of intrinsic parameter fluctuation. In: 35th European Solid State Device Research Conference, Grenoble, France., 12-16 September 2005, pp. 553-556. ISBN 0780392035 (doi: 10.1109/ESSDER.2005.1546708)

Trinder, J.J. , Magill, J.V. and Roy, S. (2005) Portable Assessment: towards ubiquitous education. International Journal of Electrical Engineering Education, 42(1), pp. 73-78.

Trinder, J.J., McGill, J.V. and Roy, S. (2005) Expect the unexpected: practicalities and problems of a PDA project. In: Kukulska-Hulme, A. and Traxler, J. (eds.) Mobile Learning: A Handbook for Educators and Trainers. Series: Open and flexible learning series. Routledge: London, UK, pp. 92-98. ISBN 9780415357395

Watling, J., Asenov, A., Barker, J. and Roy, S. (2005) The impact of the interfacial layer and structure of the k dielectric (HfO2) on device performance. In: Advanced Gate Stack Engineering Conference, Texas, USA,

Watling, J., Asenov, A., Barker, J. and Roy, S. (2005) Transport in the presence of high-k dielectrics. In: Material Modelling International Workshop, London, UK,

Watling, J., Yang, L., Asenov, A., Barker, J. and Roy, S. (2005) Impact of high-k dielectric HfO2 on the mobility and device performance of sub-100-nm nMOSFETs. IEEE Transactions on Device and Materials Reliability, 5, pp. 103-108. (doi: 10.1109/TDMR.2005.845238)

2004

Adamu-Lema, F., Roy, S., Brown, A., Asenov, A. and Roy, G. (2004) Intrinsic parameter fluctuations in conventional MOSFETs at the scaling limit : a statistical study. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 44-45.

Asenov, A., Roy, G., Alexander, C., Brown, A., Watling, J. and Roy, S. (2004) Quantum mechanical and transport effects in resolving discrete charges in nano-CMOS device simulation. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany,

Cheng, B., Roy, S. and Adamu-Lema, F. (2004) Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells. In: 5th European Workshop on Ultimate Integration of Silicon - ULIS04, Leuven, Belgium, pp. 23-26.

Cheng, B., Roy, S. and Asenov, A. (2004) Compact model strategy for studying the impact of intrinsic parameter fluctuations on circuit performance. In: 11th International Conference Mixed Design of Integrated Circuits and Systems, Szezecin, Poland, pp. 51-55.

Cheng, B., Roy, S. and Asenov, A. (2004) The impact of random dopant effects on SRAM cells. In: 30th European Solid-State Circuits Confernece ESSCIRC 2004, Leuven, Belgium, pp. 219-222.

Lee, A., Brown, A., Asenov, A. and Roy, S. (2004) RTS amplitudes in decanano n-MOSFETs with conventional and high k gate stacks. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA,

Lee, A., Brown, A.R., Asenov, A. and Roy, S. (2004) RTS amplitudes in decanano n-MOSFETs with conventional and high-k gate stacks. In: 10th International Workshop on Computational Electronics, West Lafayette, Indiana, 24-27 October, pp. 159-160. ISBN 0780386493

Millar, C., Asenov, A., Brown, A. and Roy, S. (2004) Tracking the propagation of individual ions through ion channels with nano-MOSFETs. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 205-206.

Riddet, C., Brown, A., Alexander, C., Watling, J., Roy, S. and Asenov, A. (2004) Scattering from body thickness fluctuations in double gate MOSFETs. An ab initio Monte Carlo simulation study. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 194-195.

Trinder, J., Magill, J. and Roy, S. (2004) Old hat in a brave new world. In: 8th International Computer Aided Assessment 2004, Loughborough, UK,

Trinder, J., Magill, J. and Roy, S. (2004) Portable learning and assessement - towards ubiquitous education. In: European Workshop on Mobile and Contextual Learning, Wolverhampton, UK,

Watling, J.R., Yang, L., Borici, M., Wilkins, R.C.W., Asenov, A., Barker, J.R. and Roy, S. (2004) The impact of interface roughness scattering and degeneracy in relaxed and strained Si n-channel MOSFETs. Solid-State Electronics, 48, pp. 1337-1346. (doi: 10.1016/j.sse.2004.01.015)

Watling, J., Yang, L., Asenov, A., Barker, J. and Roy, S. (2004) Impact of high-k dielectric HfO2 on the mobility and device performance of sub-100nm n-MOSFETs. In: International workshop on electrical characterization and reliability of high-k devices, Austin, USA,

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2004) Impact of device geometry and doping strategy on linearity and RF performance in Si/SiGe MODFETs. Microelectronics Reliability, 44, pp. 1101-1107. (doi: 10.1016/j.microrel.2004.04.003)

Yang, L., Watling, J., Asenov, A., Barker, J. and Roy, S. (2004) Mobility and device performance in conventional and strained Si MOSFETs with high-k stack. In: International Conference on Simulation of Semiconductor Processes and Devices, SISPAD, Munich, Germany, pp. 199-202.

Yang, L., Watling, J., Asenov, A., Barker, J. and Roy, S. (2004) Sub-100nm strained Si CMOS : Device performance and circuit behavior. In: 7th International Conference on Solid State and Intergrated Circuit Technology, Beijing, China,

Yang, L., Watling, J. R., Asenov, A., Barken, J. R. and Roy, S. (2004) Sub-100nm strained Si CMOS: device performance and circuit behavior. In: International Conference on Solid-State and Integrated Circuits Technology, Beijing, China, 18-21 October 2004, pp. 983-986. ISBN 078038511X (doi: 10.1109/ICSICT.2004.1436670)

Yang, L., Watling, J., Wilkins, R., Borici, M., Barker, J., Asenov, A. and Roy, S. (2004) Si/SiGe heterostructure parameters for device simulations. Semiconductor Science and Technology, 19, pp. 1174-1182.

2003

Millar, C., Asenov, A. and Roy, S. (2003) Brownian ionic channel simulation. Journal of Computational Electronics, 2(2-4), pp. 257-262. (doi: 10.1023/B:JCEL.0000011434.84806.6d)

Roy, S., Lee, A., Brown, A.R. and Asenov, A. (2003) Application of quasi-3D and 3D MOSFET simulations in the atomistic regime. Journal of Computational Electronics, 2(2-4), pp. 423-426. (doi: 10.1023/B:JCEL.0000011464.17950.09)

Roy, G., Brown, A. R., Asenov, A. and Roy, S. (2003) Bipolar quantum corrections in resolving individual dopants in 'atomistic' device simulation. Superlattices and Microstructures, 34(3-6), pp. 327-334. (doi: 10.1016/j.spmi.2004.03.066)

Cheng, B., Roy, S., Roy, G. and Asenov, A. (2003) Integrating 'atomistic' intrinsic parameter fluctuations into compact model circuit analysis. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal, pp. 437-440.

Cheng, B.J., Roy, S., Roy, G. and Asenov, A. (2003) Integrating 'atomistic', intrinsic parameter fluctuations into compact model circuit analysis. In: 33rd Conference on European Solid-State Device Research. ESSDERC '03, Estoril, Portugal, 16-18 Sep 2003, pp. 437-440. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256907)

Chirwa, L., Hammond, P., Roy, S. and Cumming, D. (2003) Radiation from ingested wireless devices in biomedical telemetry bands. Electronics Letters, 39, pp. 178-179. (doi: 10.1049/el)

Lee, A., Brown, A., Asenov, A. and Roy, S. (2003) Random telegraph signal noise simulation of decanano MOSFETs subject to atomic scale structure variation. Superlattices and Microstructures, 34, pp. 293-300. (doi: 10.1016/j.spmi.2004.03.027)

Lee, A., Brown, A., Asenov, A. and Roy, S. (2003) RTS noise simulations of decanano MOSFETs subject to atomic scale structure variations. In: NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices, Maui, Hawaii, Maui, Hawaii,

Millar, C., Asenov, A. and Roy, S. (2003) Brownian dynamics based particle mesh simulation of ionic solutions and channels. In: Proceedings Modeling and Simulation of Microsystems 2003 - MSM 03, San Francisco, USA,

Roy, G., Brown, A., Asenov, A. and Roy, S. (2003) Bipolar quantum corrections in resolving individual dopants in atomistic, intrinsic parameter fluctuations into compact model circuit analysis. In: NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices, Maui, Hawaii, Maui, Hawaii, pp. 34-35.

Roy, G., Brown, A., Asenov, A. and Roy, S. (2003) Quantum aspects of resolving discrete charges in atomistic device simulation. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Roy, S. (2003) A methodology for introducing atomistic parameter fluctuations into compact device models for circuit simulation. Journal of Computational Electronics, 2(39540), pp. 427-431.

Roy, S., Cheng, B., Roy, G. and Asenov, A. (2003) A methodology for introducing atomistic parameter fluctutations into compact device models for circuit simulation. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications. In: 14th Workshop on Modeling and Simulation of Electron Devices, Barcelona, Spain, pp. 41-44.

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications. In: IEEE Conference on Electron devices and solid state circuits, Hong Kong, pp. 331-344.

Yang, L., Watling, J., Borici, M., Wilkins, R., Asenov, A., Barker, J. and Roy, S. (2003) Simulation of scaled sub-100nm strained Si p-channel MOSFETs. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Yang, L., Asenov, A., Borici, M., Watling, J. R., Barker, J. R., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimizations of sub-100 nm Si/SiGe MODFETs for high linearity RF applications. In: IEEE Conference on Electron Devices and Solid-State Circuits, Kowloon, Hong Kong, 16-18 December 2003, pp. 331-334. ISBN 0780377494 (doi: 10.1109/EDSSC.2003.1283543)

2002

Kaya, S., Asenov, A. and Roy, S. (2002) Breakdown of universal mobility curves in sub-100-nm MOSFETs. IEEE Transactions on Nanotechnology, 1(4), pp. 260-264. (doi: 10.1109/TNANO.2002.807385)

Kalna, K., Roy, S., Asenov, A., Elgaid, K. and Thayne, I. (2002) Scaling of pseudomorphic high electron mobility transistors to decanano dimensions. Solid-State Electronics, 46, pp. 631-638.

Kaya, S., Asenov, A. and Roy, S. (2002) Breakdown of universal mobility curves in sub-100nm MOSFETs. In: Proceedings Silicon Nanoelectronics Workshop 2002, Honolulu,

Roy, S. (2002) Generic particle-mesh framework for the simulation of ionic channels. Journal of Computational Electronics, 1(3), pp. 405-409.

Roy, S. (2002) On the breakdown of Universal mobility curves: a Brownian 3D simulation framework. Journal of Computational Electronics, 1(3), pp. 375-379.

Yang, L., Watling, J., Wilkins, R., Asenov, A., Barker, J., Roy, S. and Hackbarth, T. (2002) Scaling study of Si/SiGe MOSFETs for RF applications. In: 10th International Symposium on Electron Devices for Microwave and Optoelectronic Devices ( EDMO 2002), Manchester, UK, pp. 101-106.

Yang, L., Watling, J.R., Wilkins, R.C.W., Asenov, A., Barker, J.R., Roy, S. and Hackbarth, T. (2002) Scaling study of Si/SiGe MODFETs for RF applications. In: 10th IEEE International Symposium on Electron Devices for Microwave and Optoelectronic Applications (EDMO), Manchester, UK, 18-19 November 2002, pp. 101-106. ISBN 0780375300

2001

Kaya, S., Asenov, A. and Roy, S. (2001) Breakdown of Universal Mobility Curves in sub-100nm MOSFETs. In: IWCE-8, Illinois, USA,

Palmer, M. et al. (2001) Effective mobilities in pseudomorphic Si/SiGe/Si p-channel metal-oxide-semiconductor field-effect transistors with thin silicon capping layers. Applied Physics Letters, 78, pp. 1424-1426.

2000

Kalna, K., Roy, S., Asenov, A., Elgaid, K. and Thayne, I. (2000) RF analysis of aggressively scaled pHEMTs. In: 30th European Solid-State Device Research Conference., Cork, Ireland, 11-13 September 2000, pp. 156-159. ISBN 2863322486

1999

Roy, S., Kaya, S., Asenov, A. and Barker, J.R. (1999) RF analysis methodology for Si and SiGe FETs based on transient Monte Carlo simulation. In: International Conference on Simulation of Semiconductor Processes and Devices., Kyoto, Japan, 6-8 September 1999, pp. 147-150. ISBN 4930813980 (doi: 10.1109/SISPAD.1999.799282)

1998

Roy, S., Kaya, S., Babiker, S., Asenov, A. and Barker, J.R. (1998) Monte Carlo investigation of optimal device architectures for SiGe FETs. In: International Workshop on Computational Electronics, Osaka, Japan, 19-21 October 1998, pp. 210-213. ISBN 0780343697 (doi: 10.1109/IWCE.1998.742749)

1997

Roy, S., Asenov, A., Babiker, S., Barker, J.R. and Beaumont, S.P. (1997) RF performance of strained Si MODFETs and MOSFETs on "virtual" SiGe substrates: A Monte Carlo study. In: European Solid-State Device Research Conference, Stuttgart, Germany, 22-24 September 1997, pp. 192-195. ISBN 2863322214

This list was generated on Wed Apr 17 05:14:17 2024 BST.
Number of items: 184.

Articles

Wang, X., Brown, A. R., Cheng, B., Roy, S. and Asenov, A. (2014) Drain bias effects on statistical variability and reliability and related subthreshold variability in 20-nm bulk planar MOSFETs. Solid-State Electronics, 98, pp. 99-105. (doi: 10.1016/j.sse.2014.04.017)

Martinez, A., Aldegunde, M., Brown, A., Roy, S. and Asenov, A. (2012) NEGF simulations of a junctionless Si gate-all-around nanowire transistor with discrete dopants. Solid-State Electronics, 71, pp. 101-105. (doi: 10.1016/j.sse.2011.10.028)

Moore, I., Millar, C., Roy, S. and Asenov, A. (2012) FET based nano-pore sensing: a 3D simulation study. Journal of Computational Electronics, 11(3), pp. 266-271. (doi: 10.1007/s10825-012-0405-z)

Aghamoradi, F., McGregor, I., Roy, S. and Elgaid, K. (2011) Low-loss grounded elevated coplanar waveguide for sub-millimeter wave MMIC applications. Progress In Electromagnetics Research B, 34, pp. 103-123. (doi: 10.2528/PIERB11072111)

Reid, D., Millar, C., Roy, S. and Asenov, A. (2011) Statistical enhancement of the evaluation of combined RDD- and LER-induced VT variability: lessons from 10⁵ sample simulations. IEEE Transactions on Electron Devices, 58(8), 2257 -2265. (doi: 10.1109/TED.2011.2147317)

Wang, X., Roy, S., Brown, A.R. and Asenov, A. (2011) Impact of STI on statistical variability and reliability of decananometer MOSFETs. IEEE Electron Device Letters, 32(4), pp. 479-481. (doi: 10.1109/LED.2011.2108256)

Riddet, C., Alexander, C., Brown, A., Roy, S. and Asenov, A. (2011) Simulation of "ab initio" quantum confinement scattering in UTB MOSFETs using three-dimensional ensemble Monte Carlo. IEEE Transactions on Electron Devices, 58(3), pp. 600-608. (doi: 10.1109/TED.2010.2095422)

Markov, S., Roy, S. and Asenov, A. (2010) Direct tunnelling gate leakage variability in nano-CMOS transistors. IEEE Transactions on Electron Devices, 57(11), pp. 3106-3114. (doi: 10.1109/TED.2010.2075932)

Reid, D., Millar, C., Roy, S. and Asenov, A. (2010) Understanding LER-induced MOSFET VT variability - part I: three-dimensional simulation of large statistical samples. IEEE Transactions on Electron Devices, 57(11), pp. 2801-2807. (doi: 10.1109/TED.2010.2067731)

Reid, D., Millar, C., Roy, S. and Asenov, A. (2010) Understanding LER-induced MOSFET VT variability - part II: reconstructing the distribution. IEEE Transactions on Electron Devices, 57(11), pp. 2808-2813. (doi: 10.1109/TED.2010.2067732)

Cheng, B., Brown, A.R., Roy, S. and Asenov, A., (2010) PBTI/NBTI-related variability in TB-SOI and DG MOSFETs. IEEE Electron Device Letters, 31(5), pp. 408-410. (doi: 10.1109/LED.2010.2043812)

Bukhori, M.F., Roy, S. and Asenov, A. (2010) Simulation of statistical aspects of charge trapping and related degradation in bulk MOSFETs in the presence of random discrete dopants. IEEE Transactions on Electron Devices, 57(4), pp. 795-803. (doi: 10.1109/TED.2010.2041859)

Bindu, B., Cheng, B., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Parameter set and data sampling strategy for accurate yet efficient statistical MOSFET compact model extraction. Solid-State Electronics, 54(3), pp. 307-315. (doi: 10.1016/j.sse.2009.09.028)

Azam, T., Cheng, B., Roy, S. and Cumming, D.R.S. (2010) Robust asymmetric 6T-SRAM cell for low-power operation in nano-CMOS technologies. Electronics Letters, 46(4), pp. 273-274. (doi: 10.1049/el.2010.2817)

Cheng, B.J., Dideban, D., Moezi, N., Millar, C., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Statistical-variability compact-modeling strategies for BSIM4 and PSP. IEEE Design and Test of Computers, 27(2), pp. 26-35. (doi: 10.1109/MDT.2010.53)

Magill, J. and Roy, S. (2010) Chips for everyone: a multifaceted approach in electrical engineering outreach. IEEE Transactions on Education, 53(1), pp. 114-119. (doi: 10.1109/TE.2009.2025267)

Tang, T.B., Murray, A.F. and Roy, S. (2010) Methodology of statistical RTS noise analysis with charge-carrier trapping models. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(5), pp. 1062-1070.

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Analysis of threshold voltage distribution due to random dopants: a 100 000-sample 3-D simulation study. IEEE Transactions on Electron Devices, 56(10), pp. 2255-2263. (doi: 10.1109/TED.2009.2027973)

Cheng, B., Roy, S., Brown, A.R., Millar, C. and Asenov, A. (2009) Evaluation of statistical variability in 32 and 22 nm technology generation LSTP MOSFETs. Solid-State Electronics, 53(7), pp. 767-772. (doi: 10.1016/j.sse.2009.03.008)

Reid, D., Millar, C., Roy, S., Roy, G., Sinnott, R.O., Stewart, G., Stewart, G. and Asenov, A. (2009) Enabling cutting-edge semiconductor simulation through grid technology. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 367(1897), pp. 2573-2584. (doi: 10.1098/rsta.2009.0031)

Asenov, A. , Brown, A., Roy, G., Cheng, B., Alexander, C., Riddet, C., Kovac, U., Martinez, A., Seoane, N. and Roy, S. (2009) Simulation of statistical variability in nano-CMOS transistors using drift-diffusion, Monte Carlo and non-equilibrium Green's function techniques. Journal of Computational Electronics, 8(3-4), pp. 349-373. (doi: 10.1007/s10825-009-0292-0)

Riddet, C., Brown, A. R., Roy, S. and Asenov, A. (2008) Boundary conditions for Density Gradient corrections in 3D Monte Carlo simulations. Journal of Computational Electronics, 7(3), pp. 231-235. (doi: 10.1007/s10825-008-0222-6)

Bukhori, M. F., Roy, S. and Asenov, A. (2008) Statistical aspects of reliability in bulk MOSFETs with multiple defect states and random discrete dopants. Microelectronics Reliability, 48(8-9), pp. 1549-1552. (doi: 10.1016/j.microrel.2008.06.029)

Drysdale, T. D., Brown, A. R., Roy, G., Roy, S. and Asenov, A. (2008) Capacitance variability of short range interconnects. Journal of Computational Electronics, 7(3), pp. 124-127. (doi: 10.1007/s10825-007-0154-6)

Hamid, N.H., Murray, A. E. and Roy, S. (2008) Time-domain modeling of low-frequency noise in deep-submicrometer MOSFET. IEEE Transactions on Circuits and Systems I: Regular Papers, 55(1), pp. 233-245. (doi: 10.1109/TCSI.2007.910543)

Kovac, U., Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2008) Statistical simulation of random dopant induced threshold voltage fluctuations for 35 nm channel length MOSFET. Microelectronics Reliability, 48(8-9), pp. 1572-1575. (doi: 10.1016/j.microrel.2008.06.027)

Markov, S., Sushko, P.V., Roy, S., Fiegna, C., Sangiorgi, E., Shluger, A.L. and Asenov, A. (2008) Si-Sio(2) interface band-gap transition - effects on MOS inversion layer. Physica Status Solidi A: Applications and Materials Science, 205(6), pp. 1290-1295. (doi: 10.1002/pssa.200778154)

Millar, C., Madathil, R., Beckstein, O., Sansom, M. S. P., Roy, S. and Asenov, A. (2008) Brownian simulation of charge transport in α-Haemolysin. Journal of Computational Electronics, 7(1), pp. 28-33. (doi: 10.1007/s10825-008-0230-6)

Millar, C., Reid, D., Roy, G., Roy, S. and Asenov, A. (2008) Accurate statistical description of random dopant-induced threshold voltage variability. IEEE Electron Device Letters, 29(8), pp. 946-948. (doi: 10.1109/LED.2008.2001030)

Ferrari, G., Watling, J.R., Roy, S., Barker, J.R. and Asenov, A. (2007) Beyond SiO2 technology: simulation of the impact of high-κ dielectrics on mobility. Journal of Non-Crystalline Solids, 353(5-7), pp. 630-634. (doi: 10.1016/j.jnoncrysol.2006.10.044)

Markov, S., Brown, A.R., Cheng, B.J., Roy, G., Roy, S. and Asenov, A. (2007) Three-dimensional statistical simulation of gate leakage fluctuations due to combined interface roughness and random dopants. Japanese Journal of Applied Physics, 46(4S), pp. 2112-2116. (doi: 10.1143/JJAP.46.2112)

Cheng, B., Roy, S. and Asenov, A. (2007) CMOS 6-T SRAM cell design subject to ''atomistic" fluctuations. Solid-State Electronics, 51, pp. 565-571. (doi: 10.1016/j.sse.2007.02.009)

Ferrari, G., Watling, J.R., Roy, S., Barker, J.R. and Asenov, A. (2007) Beyond SiO2 technology: Simulation of the impact of high-kappa dielectrics on mobility. Journal of Non-Crystalline Solids, 353, pp. 630-634. (doi: 10.1016/j.jnoncrysol.2006.10.004)

Magill, J. and Roy, S. (2007) Chips for everyone: developing creativity in engineering and initial teacher education. Journal of Further and Higher Education, 2(1), pp. 40-46.

Millar, C., Roy, S., Brown, A.R. and Asenov, A. (2007) Simulating the bio-nanoelectronic interface. Journal of Physics: Condensed Matter, 19, (doi: 10.1088/0953-8984/19/21/215205)

Riddet, C., Brown, A.R., Alexander, C.L., Watling, J.R., Roy, S. and Asenov, A. (2007) 3-D Monte Carlo simulation of the impact of quantum confinement scattering on the magnitude of current fluctuations in double gate MOSFETs. IEEE Transactions on Nanotechnology, 6, pp. 48-55. (doi: 10.1109/TNANO.2006.886739)

Samsudin, K., Adamu-Lerna, F., Brown, A.R., Roy, S. and Asenov, A. (2007) Combined sources of intrinsic parameter fluctuations in sub-25 nm generation UTB-SOI MOSFETs: A statistical simulation study. Solid-State Electronics, 51, pp. 611-616. (doi: 10.1016/j.sse.2007.02.022)

Roy, G., Brown, A.R., Adamu-Lema, F., Roy, S. and Asenov, A. (2006) Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional nano-MOSFETs. IEEE Transactions on Electron Devices, 53(12), pp. 3063-3070. (doi: 10.1109/TED.2006.885683)

Ferrari, G., Watling, J., Roy, S., Barker, J., Zeitzoff, P., Bersuker, G. and Asenov, A. (2006) Monte Carlo study of mobility in Si devices with HfO2-based oxides. Materials Science in Semiconductor Processing, 9, pp. 995-999. (doi: 10.1016/j.mssp.2006.10.035)

Roy, S. (2006) Intrinsic Parameter Fluctuations in Conventional MOSFETs until end of the ITRS. Journal of Physics: Conference Series, 38(1), pp. 188-191. (doi: 10.1088/1742-6596/38/1/045)

Samsudin, K., Cheng, B., Brown, A.R., Roy, S. and Asenov, A. (2006) Integrating intrinsic parameter fluctuation description into BSIMSOI to forecast sub-15 nm UTB SOI based 6T SRAM operation. Solid-State Electronics, 50, pp. 86-93. (doi: 10.1016/j.sse.2005.10.048)

Samsudin, K., Cheng, B., Brown, A.R., Roy, S. and Asenov, A. (2006) Sub-25 nm UTB SOISRAM cell under the influence of discrete random dopants. Solid-State Electronics, 50, pp. 660-667. (doi: 10.1016/j.sse.2006.03.019)

Cheng, B., Roy, S., Roy, G., Adamu-Lema, F. and Asenov, A. (2005) Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells. Solid-State Electronics, 49(5), pp. 740-746. (doi: 10.1016/j.sse.2004.09.005)

Millar, C., Asenov, A. and Roy, S. (2005) Self-consistent particle simulation of ion channels. Journal of Computational and Theoretical Nanoscience, 2, pp. 56-67. (doi: 10.1166/jctn.2005.004)

Trinder, J.J. , Magill, J.V. and Roy, S. (2005) Portable Assessment: towards ubiquitous education. International Journal of Electrical Engineering Education, 42(1), pp. 73-78.

Watling, J., Yang, L., Asenov, A., Barker, J. and Roy, S. (2005) Impact of high-k dielectric HfO2 on the mobility and device performance of sub-100-nm nMOSFETs. IEEE Transactions on Device and Materials Reliability, 5, pp. 103-108. (doi: 10.1109/TDMR.2005.845238)

Watling, J.R., Yang, L., Borici, M., Wilkins, R.C.W., Asenov, A., Barker, J.R. and Roy, S. (2004) The impact of interface roughness scattering and degeneracy in relaxed and strained Si n-channel MOSFETs. Solid-State Electronics, 48, pp. 1337-1346. (doi: 10.1016/j.sse.2004.01.015)

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2004) Impact of device geometry and doping strategy on linearity and RF performance in Si/SiGe MODFETs. Microelectronics Reliability, 44, pp. 1101-1107. (doi: 10.1016/j.microrel.2004.04.003)

Yang, L., Watling, J., Wilkins, R., Borici, M., Barker, J., Asenov, A. and Roy, S. (2004) Si/SiGe heterostructure parameters for device simulations. Semiconductor Science and Technology, 19, pp. 1174-1182.

Millar, C., Asenov, A. and Roy, S. (2003) Brownian ionic channel simulation. Journal of Computational Electronics, 2(2-4), pp. 257-262. (doi: 10.1023/B:JCEL.0000011434.84806.6d)

Roy, S., Lee, A., Brown, A.R. and Asenov, A. (2003) Application of quasi-3D and 3D MOSFET simulations in the atomistic regime. Journal of Computational Electronics, 2(2-4), pp. 423-426. (doi: 10.1023/B:JCEL.0000011464.17950.09)

Roy, G., Brown, A. R., Asenov, A. and Roy, S. (2003) Bipolar quantum corrections in resolving individual dopants in 'atomistic' device simulation. Superlattices and Microstructures, 34(3-6), pp. 327-334. (doi: 10.1016/j.spmi.2004.03.066)

Chirwa, L., Hammond, P., Roy, S. and Cumming, D. (2003) Radiation from ingested wireless devices in biomedical telemetry bands. Electronics Letters, 39, pp. 178-179. (doi: 10.1049/el)

Lee, A., Brown, A., Asenov, A. and Roy, S. (2003) Random telegraph signal noise simulation of decanano MOSFETs subject to atomic scale structure variation. Superlattices and Microstructures, 34, pp. 293-300. (doi: 10.1016/j.spmi.2004.03.027)

Roy, S. (2003) A methodology for introducing atomistic parameter fluctuations into compact device models for circuit simulation. Journal of Computational Electronics, 2(39540), pp. 427-431.

Kaya, S., Asenov, A. and Roy, S. (2002) Breakdown of universal mobility curves in sub-100-nm MOSFETs. IEEE Transactions on Nanotechnology, 1(4), pp. 260-264. (doi: 10.1109/TNANO.2002.807385)

Kalna, K., Roy, S., Asenov, A., Elgaid, K. and Thayne, I. (2002) Scaling of pseudomorphic high electron mobility transistors to decanano dimensions. Solid-State Electronics, 46, pp. 631-638.

Roy, S. (2002) Generic particle-mesh framework for the simulation of ionic channels. Journal of Computational Electronics, 1(3), pp. 405-409.

Roy, S. (2002) On the breakdown of Universal mobility curves: a Brownian 3D simulation framework. Journal of Computational Electronics, 1(3), pp. 375-379.

Palmer, M. et al. (2001) Effective mobilities in pseudomorphic Si/SiGe/Si p-channel metal-oxide-semiconductor field-effect transistors with thin silicon capping layers. Applied Physics Letters, 78, pp. 1424-1426.

Books

Reid, D., Millar, C., Roy, S., Roy, G., Sinnott, R., Stewart, G. and Asenov, A. (2008) An accurate statistical analysis of random dopant induced variability in 140,00013nm MOSFETs. IEEE, pp. 79-80. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418478)

Book Sections

Sinnott, R.O., Stewart, G., Asenov, A., Millar, C., Reid, D., Roy, G., Roy, S., Davenhall, C., Harbulot, B. and Jones, M. (2010) E-infrastructure support for nanoCMOS device and circuit simulations. In: Hamza, M.H. (ed.) Proceedings of the Conference on Parallel and Distributed Computing and Networks, Innsbruck, Austria, 16-18th February 2010. ACTA Press: Anaheim, USA. ISBN 9780889868342

Sinnott, R.O., Stewart, G., Asenov, A., Millar, C., Reid, D., Roy, G., Roy, S., Davenhall, C., Harbulot, B. and Jones, M. (2009) Multi-level simulations to support nanoCMOS electronics research. In: 2009 ASME Design Engineering Technical Conferences and Computers and Information in Engineering Conference DETC2009, August 30-September 2, 2009, San Diego, California, USA. American Society of Mechanical Engineers: New York, USA. ISBN 9780791838563

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Statistical enhancement of combined simulations of RDD and LER variability: what can simulation of a 105 sample teach us? In: Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, USA, 7-9 December 2009. IEEE Computer Society, pp. 657-660. ISBN 9781424456390 (doi: 10.1109/IEDM.2009.5424241)

Trinder, J. , Magill, J. and Roy, S. (2009) Using automatic logging to collect information on mobile device usage for learning. In: Vavoula, G., Pachler, N. and Kukulska-Hulme, A. (eds.) Researching Mobile Learning. Peter Lang: Oxford, pp. 241-256. ISBN 9783039118328

Cheng, B., Roy, S., Brown, A., Millar, C. and Asenov, A. (2008) Evaluation of Intrinsic Parameter Fluctuations on 45, 32 and 22nm Technology Node LP N-MOSFETs. In: ESSDERC 2008: Proceedings of the 38th European Solid-State Device Research Conference. Series: Proceedings of the European Solid-State Device Research Conference. IEEE: New York, pp. 47-50. ISBN 978-1-4244-2363-7 (doi: 10.1109/ESSDERC.2008.4681695)

Cheng, B., Roy, S., Brown, A.R., Millar, C. and Asenov, A. (2008) Statistical variations in 32nm thin-body SOI devices and SRAM cells. In: 9th International Conference on Solid-State and Integrated-Circuit Technology, 2008. ICSICT 2008. IEEE, pp. 389-392. ISBN 9781424421855 (doi: 10.1109/ICSICT.2008.4734546)

Reid, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) An accurate statistical analysis of random dopant induced variability in 140,000 13nm MOSFET. In: Proceedings of the IEEE Silicon Nanoelectronics Workshop, 15-16 June 2008, Honolulu, Hawaii. IEEE Computer Society: Piscataway, N.J., USA. ISBN 9781424420711 (doi: 10.1109/SNW.2008.5418478)

Reid, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O., Stewart, G. and Asenov, A. (2008) Prediction of random dopant induced threshold voltage fluctuations in NanoCMOS transistors. In: Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices, 9-11 Sept 2008, Hakone, Japan. IEEE Computer Society: Piscataway, N.J., USA, pp. 21-24. ISBN 9781424417537 (doi: 10.1109/SISPAD.2008.4648227)

Sinnott, R.O. et al. (2008) Secure, performance-oriented data management for nanoCMOS electronics. In: Fourth IEEE International Conference on E-Science: 7-12 December 2008, Indiana, USA. IEEE Computer Society: Piscataway, N.J., USA, pp. 87-94. (doi: 10.1109/eScience.2008.21)

Sinnott, R.O. et al. (2008) Integrating security solutions to support nanoCMOS electronics research. In: Proceedings of the 2008 International Symposium on Parallel and Distributed Processing with Applications: 10-12 December 2008, Sydney, NSW, Australia. IEEE Computer Society: Los Alamitos, USA, pp. 71-79. ISBN 9780769534718 (doi: 10.1109/ISPA.2008.132)

Trinder, J., Roy, S. and Magill, J. (2008) Visualising and hearing log data. In: mLearn 2008 Conference Proceedings. University of Wolverhampton School of Computing and Information Technology. ISBN 9780956027207

Wang, X., Roy, S. and Asenov, A. (2008) High performance MOSFET scaling study from bulk 45nm technology generation. In: Proceeding of the 9th International Conference on Solid-State and Integrated-Circuit Technology: 20-23 October 2008, Beijing, China. IEEE Computer Society: Piscataway, N.J., USA, pp. 484-487. ISBN 9781424421855 (doi: 10.1109/ICSICT.2008.4734586)

Wang, X., Roy, S. and Asenov, A. (2008) Impact of strain on LER variability in bulk MOSFETs. In: Proceedings of the 38th European Solid-state Device Research Conference, 15-19 September 2008, Edinburgh, UK. IEEE Computer Society: Piscataway, N.J., USA, pp. 190-193. ISBN 9781424423637 (doi: 10.1109/ESSDERC.2008.4681730)

Sinnott, R.O., Asenov, A. , Brown, A., Millar, C., Roy, G., Roy, S. and Stewart, G. (2007) Grid infrastructures for the electronics domain: requirements and early prototypes from an EPSRC pilot project. In: Cox, S.J. (ed.) Proceedings of the UK e-Science All Hands Meeting 2007, Nottingham, UK, 10th-13th September 2007. National e-Science Centre: Edinburgh. ISBN 9780955398834

Sinnott, R.O. et al. (2006) Meeting the design challenges of nano-CMOS electronics: an introduction to an upcoming EPSRC pilot project. In: Cox, S.J. (ed.) Proceedings of the UK e-Science All Hands Meeting 2006 : Nottingham, UK, 18th-21st September. National e-Science Centre: Edinburgh. ISBN 9780955398810

Trinder, J.J., McGill, J.V. and Roy, S. (2005) Expect the unexpected: practicalities and problems of a PDA project. In: Kukulska-Hulme, A. and Traxler, J. (eds.) Mobile Learning: A Handbook for Educators and Trainers. Series: Open and flexible learning series. Routledge: London, UK, pp. 92-98. ISBN 9780415357395

Conference or Workshop Item

Ghannam, R. , Allan, W. and Roy, S. (2020) Exam Setting and Moderation in a Transnational Engineering Programme. Transnational Engineering Education Using Technology Workshop (TREET 2020), Glasgow, UK, 31 Jul 2020. ISBN 9781728188522 (doi: 10.1109/TREET50959.2020.9189755)

Xiao, Y., Trefzer, M. A., Roy, S., Walker, J. A., Bale, S. J. and Tyrrell, A. M. (2014) Circuit Optimization Using Device Layout Motifs. 2014 5th European Workshop on CMOS Variability (VARI), Palma de Mallorca, Spain, 29 Sep - 01 Oct 2014. pp. 1-6. (doi: 10.1109/VARI.2014.6957081)

Conference Proceedings

Sng, G. K. E., Chua, S. W., Roy, S. and Lim, L. H. I. (2021) Solar Energy Simulation of Bifacial Panels for Performance Optimisation. In: 47th IEEE Photovoltaic Specialists Conference (PVSC 47), 15 Jun - 21 Aug 2020, ISBN 9781728161167 (doi: 10.1109/PVSC45281.2020.9300749)

Sng, E., Sahadevan, A., Channabasappa Devihosur, S., Rohini, S., Malar, K., Roy, S. and Lim, I. L. H. (2019) Optimisation of Bifacial Photovoltaics Module with Reflective Layer in Outdoor Performance. In: 36th European PV Solar Energy Conference and Exhibition (EUPVSEC 2019), Marseille, France, 09-13 Sep 2019, pp. 1007-1011. ISBN 9783936338607 (doi: 10.4229/EUPVSEC20192019-4AV.1.12)

Heidari, H. , Wacker, N., Roy, S. and Dahiya, R. (2015) Towards Bendable CMOS Magnetic Sensors. In: IEEE Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), Glasgow, UK, 29 Jun - 02 Jul 2015, pp. 314-317. (doi: 10.1109/PRIME.2015.7251398)

Meehan, K., Davies, J. H. , Roy, S., Marsh, J. H. , Li, Y. , Gao, S., Zhou, D., Zhao, Z., Liu, X. and Luo, F. (2014) Merging Pedagogical Approaches: University of Glasgow-UESTC Joint Education Programme in Electronics and Electrical Engineering. In: 2014 IEEE Frontiers in Education Conference, Madrid, Spain, 22-25 Oct. 2014, pp. 1-5. (doi: 10.1109/fie.2014.7044387)

Asenov, P., Adamu-Lema, F., Roy, S., Millar, C., Asenov, A. , Roy, G., Kovac, U. and Reid, D. (2011) The effect of compact modelling strategy on SNM and Read Current variability in Modern SRAM. In: 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, 8-10 Sep 2011, pp. 283-286. ISBN 9781612844190 (doi: 10.1109/SISPAD.2011.6035024)

Hong, F., Cheng, B., Roy, S. and Cumming, D. (2011) An analytical mismatch model of nano-CMOS device under impact of intrinsic device variability. In: 2011 IEEE International Symposium on Circuits and Systems (ISCAS), Rio De Janerio, Brazil, 15-18 May 2011, pp. 2257-2260. (doi: 10.1109/ISCAS.2011.5938051)

Asenov, A. , Cheng, B., Dideban, D., Kovac, U., Moezi, N., Millar, C., Roy, G., Brown, A. and Roy, S. (2010) Modeling and simulation of transistor and circuit variability and Reliability. In: Custom Integrated Circuit Conference (CICC), San Jose, CA, USA, 19-22 September 2010, pp. 1-8. (doi: 10.1109/CICC.2010.5617627)

Asenov, P., Kamsani, N.A., Reid, D., Millar, C., Roy, S. and Asenov, A. (2010) Combining Process and Statistical Variability in the Evaluation of the Effectiveness of Corners in Digital Circuit Parametric Yield Analysis. In: ESSDERC 2010, Sevilla, 13-17 September,

Asenov, P., Reid, D., Millar, C., Roy, S., Liu, Z., Furber, S. and Asenov, A. (2010) Generic Aspects of Digital Circuit Behaviour In the Presence of Statistical Variability. In: VARI 2010,

Cheng, B., Dideban, D., Moezi, N., Millar, C., Roy, G., Wang, X., Roy, S. and Asenov, A. (2010) Capturing intrinsic parameter fluctuations using the PSP compact model. In: Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2010), Dresden, Germany, 8-12 March 2010, pp. 650-653.

Cheng, B., Moezi, N., Dideban, D., Millar, C., Roy, S. and Asenov, A. (2010) Impact of Statistical Parameter set Selection on Accuracy of Statistical Compact Modelling. In: MOS-AK Workshop, Sapienza University, Rome, Italy, 8-9 April 2010,

Dideban, D., Cheng, B., Moezi, N., Kamsani, N.A., Millar, C., Roy, S. and Asenov, A. (2010) Impact of input slew rate on statistical timing and power dissipation variability in nanoCMOS. In: 11th International Conference on Ultimate Integration on Silicon, Glasgow, Scotland, 17-19 Mar 2010,

Kamsani, N.A., Cheng, B., Millar, C., Moezi, N., Wang, X., Roy, S. and Asenov, A. (2010) Impact of slew rate definition on the accuracy of nanoCMOS inverter timing simulations. In: 11th International Conference on Ultimate Integration on Silicon, Glasgow, Scotland, 17-19 Mar 2010, p. 53.

Moore, I., Millar, C., Roy, S. and Asenov, A. (2010) Brownian noise in FET based nano-pore sensing a 3D simulation study. In: 14th International Workshop on Computational Electronics, Pisa, Italy, 27-29 Oct 2010,

Moore, I., Millar, C., Roy, S. and Asenov, A. (2010) Integrating drift diffusion and Brownian simulations for sensory applications. In: 11th International Conference on Ultimate Integration on Silicon, Glasgow, UK, 17-19 Mar 2010, pp. 85-88.

Roy, S. (2010) Influence of atomic fluctuation on operation nanoscaled devices. In: 6th International SemiOI Workshop, Kiev, Ukraine, 26-30 April 2010,

Roy, S. (2010) Trends in the influence of atomic fluctuations on the operation and reliability of nanoscale devices and circuits. In: HIPEAC Task Force on Reliability and Availability, Edinburgh, UK, 3-5 May 2010,

Alexander, C., Kovac, U., Roy, G., Roy, S. and Asenov, A. (2009) A unified density gradient approach to 'ab-initio' ionized impurity scattering in 3D MC simulations of nano-CMOS variability. In: Ultimate Integration of Silicon: ULIS 2009, Aachen, Germany, 18-20 Mar 2009, pp. 43-46. (doi: 10.1109/ULIS.2009.4897535)

Bukhori, M.F., Roy, S. and Asenov, A. (2009) Simulation of statistical aspects of reliability in nano CMOS. In: IEEE International Integrated Reliability Workshop (IRW '09), S. Lake Tahoe, CA., U.S.A., 18-22 Oct 2009, pp. 82-85. ISBN 9781424439218 (doi: 10.1109/IRWS.2009.5383028)

Cheng, B., Moezi, N., Dideban, D., Roy, G., Roy, S. and Asenov, A. (2009) Benchmarking the Accuracy of PCA Generated Statistical Compact Model Parameters Against Physical Device Simulation and Directly Extracted Statistical Parameters. In: Simulation of Semiconductor Processes and Devices, 2009, San Diego, CA, 9-11th September, 2009, pp. 1-4. ISBN 1946-1569 (doi: 10.1109/SISPAD.2009.5290230)

Graham, D., Strid, P., Roy, S. and Rodriguez-Salazar, F. (2009) A low-tech solution to avoid the severe impact of transient errors on the IP interconnect. In: International Conference on Dependable Systems and Networks, 2009. DSN '09. IEEE/IFI2009IEEE/IFIP International Conference on Dependable Systems & Networks, 2009. DSN '09, Lisbon, Portugal, 29 Jun - 2 Jul 2009, pp. 478-483. ISBN 9781424444229 (doi: 10.1109/DSN.2009.5270301)

Kamsani, N.A., Cheng, B.J., Roy, S. and Asenov, A. (2009) Impact of Random Dopant Induced Statistical Variability on Inverter Switching Trajectories and Timing Variability. In: ISCAS: IEEE International Symposium on Circuits and Systems, 2009, pp. 577-580.

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Efficient simulation of 6σ VT distribution due to random descrete dopants. In: 10th International Conference on Ultimate Integration of Silicon, 2009. ULIS 2009., Aachen, Germany, 18-20 Mar 2009, pp. 23-26. (doi: 10.1109/ULIS.2009.4897530)

Reid, D., Millar, C., Roy, G., Roy, S. and Asenov, A. (2009) Understanding LER-induced statistical variability: a 35,000 sample 3D simulation study. In: European Solid State Device Research Conference, 2009. ESSDERC '09, Athens, Greece, 14-18 Sep 2009, pp. 423-426. (doi: 10.1109/ESSDERC.2009.5331515)

Twaddle, F., Cumming, D., Roy, S., Asenov, A. and Drysdale, T. (2009) Variability of short-range interconnects. In: 13th International Workshop on Computational Electronics, Beijing, China, 27-29 May 2009,

Twaddle, F.J., Cumming, D.R.S., Roy, S., Asenov, A. and Drysdale, T.D. (2009) RC variability of short-range interconnects. In: IWCE 2009: 13th International Workshop on Computational Electronics, Beijing, China, 27-29 May 2009, pp. 121-124. (doi: 10.1109/IWCE.2009.5091143)

Wang, X.S., Roy, S. and Asenov, A. (2009) Impact of strain on the performance of high-k/metal replacement gate MOSFETs. In: 10th International Conference on Ultimate Integration of Silicon, Aachen, Germany, 18-20 March 2009, pp. 289-292. (doi: 10.1109/ULIS.2009.4897592)

Sinnott, R.O. et al. (2008) Scalable, security-oriented solutions for nanoCMOS electronics. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sept 2008,

Asenov, A. et al. (2008) Advanced simulation of statistical variability and reliability in nano CMOS transistors. In: IEDM 2008. IEEE International Electron Devices Meeting, 2008, San Francisco, CA, 15-17 Dec 2008, p. 421. ISBN 9781424423774 (doi: 10.1109/IEDM.2008.4796712)

Asenov, A. et al. (2008) Meeting the design challenges of nano-CMOS electronics, design automation and test in Europe. In: Workshop on Impact of Process Variability on Design and Test, Munich, Germany, 10-14 Mar 2008,

Bindu, B., Cheng, B., Roy, G., Wang, X., Roy, S. and Asenov, A. (2008) An efficient data sampling strategy for statistical parameter extraction of nano-MOSFETs. In: IEEE Workshop on Compact Modeling, Hakone, Japan, 8 Sept 2008,

Bukhori, M. F., Roy, S. and Asenov, A. (2008) Statistical simulation of RTS amplitude distribution in realistic bulk MOSFETs subject to random discreet dopants. In: 9th International Conference on Ultimate Integration of Silicon, 2008. ULIS 2008., Udine, Italy, pp. 171-174. ISBN 978-1-4244-1729-2 (doi: 10.1109/ULIS.2008.4527166)

Graham, D., Bradley, D., Roy, S., Strid, P. and Rodriguez-Salazar, F. (2008) Design for reliability: an analysis of logical masking on transient faults. In: IEEE Workshop on Silicon Errors in Logic - System Effects, Austin, TX, USA, 26-27 March 2008,

Kamsani, N.A., Cheng, B., Roy, S. and Asenov, A. (2008) Statistical circuit simulation with supply-voltage scaling in nanometre MOSFET devices under the influence of random dopant fluctuations. In: Faible Tension Faible Consommation (FTFC) 2008, Louvain La Neuve, Belgium, 26-28 May 2008, pp. 95-99.

Kamsani, N.A., Cheng, B., Roy, S. and Asenov, A. (2008) Statistical circuit simulation with the effect of random discrete dopants in nanometer MOSFET devices. In: Design Automation and Test in Europe: Workshop W2, Impact of Process Variability on Design and Test, Munich, Germany, 10-14 March 2008,

Markov, S., Roy, S., Fiegna, C., Sangiorgi, E. and Asenov, A. (2008) On the sub-nm EOT scaling of high-kappa gate stacks. In: International Conference on the Ultimate Integration of Silicon, Udine, Italy, 13-14 Mar 2008,

Reid, D., Millar, C., Asenov, A. , Roy, S., Roy, G., Sinnott, R.O. and Stewart, G. (2008) Supporting statistical semiconductor device analysis using EGEE and OMII-UK middleware. In: EGEE User Conference, Clermond Ferrand, France, Feb 2008,

Reid, D., Sinnott, R.O., Millar, C., Roy, G., Roy, S., Stewart, G., Stewart, G. and Asenov, A. (2008) Enabling cutting-edge semiconductor simulation through grid technology. In: UK e-Science All Hands Meeting, Edinburgh, UK, 8-11 Sep 2008,

Sinnott, R.O., Berry, D., Harbulot, B., Millar, C., Reid, D., Roy, G., Roy, S., Stewart, G. and Asenov, A. (2008) Meeting the design challenges of nanoCMOS electronics through secure large-scale simulation and data management. In: EGEE'08, Istanbul, Turkey, 22-26 Sep 2008,

Trinder, J., Roy, S. and Magill, J. (2008) (s)Logging away at mobile learning. In: JISC Innovative e-Learning with Mobile and Wireless Technologies, Glasgow, UK, May 2008,

Wang, X., Cheng, B., Roy, S. and Asenov, A. (2008) Simulation of strain enhanced variability in nMOSFETs. In: 9th International Conference on Ultimate Integration of Silicon, 2008. ULIS 2008., Udine, Italy, 12-14 March 2008, pp. 89-92. (doi: 10.1109/ULIS.2008.4527147)

Asenov, A. et al. (2007) Meeting the design challenges of nanoCMOS electronics. In: Third International Nanotechnology Conference on Communication and Cooperation, Brussels, Belgium, 17-19 Apr 2007,

Han, L., Asenov, A. , Berry, D., Millar, C., Roy, G., Roy, S., Sinnott, R.O. and Stewart, G. (2007) Towards a grid-enabled simulation framework for nano-CMOS electronics. In: 3rd IEEE International Conference on e-Science and Grid Computing, Bangalore, India, 10-13 Dec 2007, pp. 305-311. (doi: 10.1109/E-SCIENCE.2007.78)

Cheng, B., Roy, S. and Asenov, A. (2006) The impact of intrinsic parameter fluctuations on decananometer circuits and circuit modelling techniques. In: Mixed Design of Integrated Circuits and System, MIXDES 2006, Gdynia, Poland, pp. 117-121. ISBN 88-900874-0-8

Cheng, B., Roy, S. and Asenov, A. (2006) Low power, high density CMOS 6-T SRAM cell design subject to 'atomistic' fluctuations. In: 7th European Workshop on ULtimate Integration of Silicon, ULIS 2006, Grenoble, France, pp. 33-36.

Cheng, B., Roy, S., Roy, G., Brown, A. and Asenov, A. (2006) Design consideration of 6-T SRAM towards the End Of Bulk CMOS Technology scaling subjected to randon dopant fluctuations. In: 34th European Solid State Devices Research Conference, Montreux, Switzerland, pp. 258-261.

Ferrari, G., Watling, J., Roy, S., Barker, J. and Asenov, A. (2006) Beyond SiO2 technology: The impact of high-k dielectrics. In: 6th symposium SiO2 , advanced dielectrics and related devices : SiO2006, Palermo, Italy,

Ferrari, G., Watling, J., Roy, S., Barker, J., Zeitzoff, P., Bersuker, G. and Asenov, A. (2006) Monte Carlo study of mobility in Si devices with HfO2 based oxides. In: E-MRS IUMRS ICEM 2006, Nice, France, Symposium.

Ferrari, G., Watling, J., Roy, S., Barker, J., Zeitzoff, P., Bersuker, G. and Asenov, A. (2006) On the impact of high-k gate stacks on mobility: a Monte Carlo study including coupled SO phonon-plasmon scattering. In: 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 111.

Magill, J. and Roy, S. (2006) Chips for everyone ? an innovative approach to development of public engagement events. In: Participatory approaches in Science and Technology, Edinburgh, UK,

Markov, S., Brown, A., Cheng, B., Roy, G., Roy, S. and Asenov, A. (2006) 3D statistical simulation of gate leakage fluctutations due to combined interface roughness and random dopants. In: International Conference on Solid State Devices and Materials. (SSDM 2006)., Yokohama,Japan, pp. 362-363.

Millar, C., Roy, S. and Asenov, A. (2006) Simulation of Bio-Nano-CMOS devices. In: E-MRS IUMRS ICEM 2006, Nice, France, Symposium.

Millar, C., Roy, S., Beckstein, O., Sansom, M. and Asenov, A. (2006) Continuum versus particle simulation of model nano-pores. In: 11th International Workshop on Computational Electronics, IWCE 2006, Vienna, Austria, p. 367.

Paluchowski, S., Milgrew, M., Roy, S. and Cumming, D. (2006) CMOS combinational logic design for GaAs heterostructure MOSFET technology. In: Conference on Optoelectronic and Microelectronic Materials and Devices, COMMAD'06, Perth, Australia,

Riddet, C., Brown, A., Alexander, C., Roy, S. and Asenov, A. (2006) Efficient density gradient quantum corrections for 3D Monte Carlo simulations. In: International Conference on Simulation of Semiconductor Processes and Devices, SISPAD 2006, California,USA,

Roy, S., Cheng, B. and Asenov, A. (2006) Impact of intrinsic parameter fluctuation in nano-CMOS devices on circuits and systems. In: International Topical Workshop on Tera- and Nano- Devices: Physics and Modelling, Aizu-Wakamatsu, Japan, pp. 24-25.

Samsudin, K., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2006) Intrinsic parameter fluctuations in sub-10nm generation UTB SOI MOSFETs. In: 7 th European Workshop on ULtimate Integration of Silicon, ULIS 2006, Grenoble, France, pp. 93-96. ISBN 88-900874-0-8

Trinder, J., Magill, J. and Roy, S. (2006) A call to arms for handheld devices. In: International Computer Assisted Assessment Conference, Loughborough, UK, pp. 411-416. ISBN 0-9539572-5-X

Barker, J., Watling, J., Brown, A., Roy, S., Zeitzoff, P., Bersuker, G. and Asenov, A. (2005) Monte Carlo study of coupled SO phonon-plasmon scattering in Si MOSFETs with high k dielectric gate stacks: hot electron and disorder effects. In: 14th International Conference on Nonequilibrium Carrier Dynamics in Semiconductors - HCIS, Chicago, USA,

Hamid, N. H., Murray, A.F., Laurenson, D., Roy, S. and Cheng, B. (2005) Probabilistic computing with future deep sub-micrometer devices: a modelling approach. In: IEEE International Symposium on Circuits and Systems, Kobe, Japan, 23-26 May, pp. 2510-2513. ISBN 0780388348 (doi: 10.1109/ISCAS.2005.1465136)

Hamid, N., Murray, A., Laurenson, D. and Roy, S. (2005) Probabilistic neural computing with future deep sub-micrometer MOSFETs: A modelling approach. In: IEEE International Symposiumon Circuits And Systems 2005, Kobe, Japan,

Millar, C., Asenov, A., Roy, S. and Brown, A. (2005) Simulating the bio-nano-CMOS interface. In: 5th IEEE conference on Nanotechnology, Nagoya, Japan,

Riddet, C., Brown, A., Alexander, C., Watling, J., Roy, S. and Asenov, A. (2005) Impact of quantum confinement scattering on the magnitude of current fluctuations in double gate MOSFETs. In: Silicon Nanoelectronics Workshop 2005, Kyoto, Japan,

Roy, G., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2005) Intrinsic parameter fluctuations in conventional MOSFETs until the end of the ITRS. In: New Phenomena in Mesoscopic Structures - 7 (NPMS) and the fifth in the series of Surfaces and Interfaces of Mesoscopic Devices (SIMD), NPMS-7/SIMD-5, Maui, Hawaii,

Roy, G., Adamu-Lema, F., Brown, A., Roy, S. and Asenov, A. (2005) Simulation of combined sources of intrinsic parameter fluctuations in 'real' 35nm MOSFET. In: European Solid-State Device Research Conference 2005 - ESSDERC2005, Grenoble, France,

Samsudin, K., Cheng, B., Brown, A., Roy, S. and Asenov, A. (2005) Impact of body thickness fluctuations in nanometer scale UTB SOI MOSFETs on SRAM cell functionality. In: 6th European Conference on ULtimate Integration of Silicon - ULIS 2005, Bologna, Italy,

Samsudin, K., Cheng, B., Brown, A.R., Roy, S. and Asenov, A. (2005) Impact of random dopant induced fluctuations on sub-15nm UTB SOI 6T SRAM cells. In: IEEE International SOI Conference, Honolulu, Hawaii, 3-6 October, pp. 60-61. ISBN 0780392124 (doi: 10.1109/SOI.2005.1563533)

Samsudin, K., Cheng, B., Brown, A.R., Roy, S. and Asenov, A. (2005) UTB SOI SRAM cell stability under the influence of intrinsic parameter fluctuation. In: 35th European Solid State Device Research Conference, Grenoble, France., 12-16 September 2005, pp. 553-556. ISBN 0780392035 (doi: 10.1109/ESSDER.2005.1546708)

Watling, J., Asenov, A., Barker, J. and Roy, S. (2005) The impact of the interfacial layer and structure of the k dielectric (HfO2) on device performance. In: Advanced Gate Stack Engineering Conference, Texas, USA,

Watling, J., Asenov, A., Barker, J. and Roy, S. (2005) Transport in the presence of high-k dielectrics. In: Material Modelling International Workshop, London, UK,

Adamu-Lema, F., Roy, S., Brown, A., Asenov, A. and Roy, G. (2004) Intrinsic parameter fluctuations in conventional MOSFETs at the scaling limit : a statistical study. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 44-45.

Asenov, A., Roy, G., Alexander, C., Brown, A., Watling, J. and Roy, S. (2004) Quantum mechanical and transport effects in resolving discrete charges in nano-CMOS device simulation. In: 4th IEEE Conference on Nanotechnology 2004, Munich, Germany,

Cheng, B., Roy, S. and Adamu-Lema, F. (2004) Impact of intrinsic parameter fluctuations in decanano MOSFETs on yield and functionality of SRAM cells. In: 5th European Workshop on Ultimate Integration of Silicon - ULIS04, Leuven, Belgium, pp. 23-26.

Cheng, B., Roy, S. and Asenov, A. (2004) Compact model strategy for studying the impact of intrinsic parameter fluctuations on circuit performance. In: 11th International Conference Mixed Design of Integrated Circuits and Systems, Szezecin, Poland, pp. 51-55.

Cheng, B., Roy, S. and Asenov, A. (2004) The impact of random dopant effects on SRAM cells. In: 30th European Solid-State Circuits Confernece ESSCIRC 2004, Leuven, Belgium, pp. 219-222.

Lee, A., Brown, A., Asenov, A. and Roy, S. (2004) RTS amplitudes in decanano n-MOSFETs with conventional and high k gate stacks. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA,

Lee, A., Brown, A.R., Asenov, A. and Roy, S. (2004) RTS amplitudes in decanano n-MOSFETs with conventional and high-k gate stacks. In: 10th International Workshop on Computational Electronics, West Lafayette, Indiana, 24-27 October, pp. 159-160. ISBN 0780386493

Millar, C., Asenov, A., Brown, A. and Roy, S. (2004) Tracking the propagation of individual ions through ion channels with nano-MOSFETs. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 205-206.

Riddet, C., Brown, A., Alexander, C., Watling, J., Roy, S. and Asenov, A. (2004) Scattering from body thickness fluctuations in double gate MOSFETs. An ab initio Monte Carlo simulation study. In: International workshop on Computational Electronics, IWCE-10, West Lafayette, USA, pp. 194-195.

Trinder, J., Magill, J. and Roy, S. (2004) Old hat in a brave new world. In: 8th International Computer Aided Assessment 2004, Loughborough, UK,

Trinder, J., Magill, J. and Roy, S. (2004) Portable learning and assessement - towards ubiquitous education. In: European Workshop on Mobile and Contextual Learning, Wolverhampton, UK,

Watling, J., Yang, L., Asenov, A., Barker, J. and Roy, S. (2004) Impact of high-k dielectric HfO2 on the mobility and device performance of sub-100nm n-MOSFETs. In: International workshop on electrical characterization and reliability of high-k devices, Austin, USA,

Yang, L., Watling, J., Asenov, A., Barker, J. and Roy, S. (2004) Mobility and device performance in conventional and strained Si MOSFETs with high-k stack. In: International Conference on Simulation of Semiconductor Processes and Devices, SISPAD, Munich, Germany, pp. 199-202.

Yang, L., Watling, J., Asenov, A., Barker, J. and Roy, S. (2004) Sub-100nm strained Si CMOS : Device performance and circuit behavior. In: 7th International Conference on Solid State and Intergrated Circuit Technology, Beijing, China,

Yang, L., Watling, J. R., Asenov, A., Barken, J. R. and Roy, S. (2004) Sub-100nm strained Si CMOS: device performance and circuit behavior. In: International Conference on Solid-State and Integrated Circuits Technology, Beijing, China, 18-21 October 2004, pp. 983-986. ISBN 078038511X (doi: 10.1109/ICSICT.2004.1436670)

Cheng, B., Roy, S., Roy, G. and Asenov, A. (2003) Integrating 'atomistic' intrinsic parameter fluctuations into compact model circuit analysis. In: ESSDERC 2003 - European Solid-State Device Research Conference, Estoril, Portugal, pp. 437-440.

Cheng, B.J., Roy, S., Roy, G. and Asenov, A. (2003) Integrating 'atomistic', intrinsic parameter fluctuations into compact model circuit analysis. In: 33rd Conference on European Solid-State Device Research. ESSDERC '03, Estoril, Portugal, 16-18 Sep 2003, pp. 437-440. ISBN 0780379993 (doi: 10.1109/ESSDERC.2003.1256907)

Lee, A., Brown, A., Asenov, A. and Roy, S. (2003) RTS noise simulations of decanano MOSFETs subject to atomic scale structure variations. In: NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices, Maui, Hawaii, Maui, Hawaii,

Millar, C., Asenov, A. and Roy, S. (2003) Brownian dynamics based particle mesh simulation of ionic solutions and channels. In: Proceedings Modeling and Simulation of Microsystems 2003 - MSM 03, San Francisco, USA,

Roy, G., Brown, A., Asenov, A. and Roy, S. (2003) Bipolar quantum corrections in resolving individual dopants in atomistic, intrinsic parameter fluctuations into compact model circuit analysis. In: NPMS-6/SIMD-4 Sixth International Conference on New Phenomena in Mesoscopic Systems, and Fourth International Conference on Surfaces and Interfaces of Mesoscopic Devices, Maui, Hawaii, Maui, Hawaii, pp. 34-35.

Roy, G., Brown, A., Asenov, A. and Roy, S. (2003) Quantum aspects of resolving discrete charges in atomistic device simulation. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Roy, S., Cheng, B., Roy, G. and Asenov, A. (2003) A methodology for introducing atomistic parameter fluctutations into compact device models for circuit simulation. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications. In: 14th Workshop on Modeling and Simulation of Electron Devices, Barcelona, Spain, pp. 41-44.

Yang, L., Asenov, A., Watling, J., Borici, M., Barker, J., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimisation of sub 11nm Si/SiGe MODFETs for high linearity applications. In: IEEE Conference on Electron devices and solid state circuits, Hong Kong, pp. 331-344.

Yang, L., Watling, J., Borici, M., Wilkins, R., Asenov, A., Barker, J. and Roy, S. (2003) Simulation of scaled sub-100nm strained Si p-channel MOSFETs. In: International Workshop on Computational Electronics - IWCE 9, Rome, Italy,

Yang, L., Asenov, A., Borici, M., Watling, J. R., Barker, J. R., Roy, S., Elgaid, K., Thayne, I. and Hackbarth, T. (2003) Optimizations of sub-100 nm Si/SiGe MODFETs for high linearity RF applications. In: IEEE Conference on Electron Devices and Solid-State Circuits, Kowloon, Hong Kong, 16-18 December 2003, pp. 331-334. ISBN 0780377494 (doi: 10.1109/EDSSC.2003.1283543)

Kaya, S., Asenov, A. and Roy, S. (2002) Breakdown of universal mobility curves in sub-100nm MOSFETs. In: Proceedings Silicon Nanoelectronics Workshop 2002, Honolulu,

Yang, L., Watling, J., Wilkins, R., Asenov, A., Barker, J., Roy, S. and Hackbarth, T. (2002) Scaling study of Si/SiGe MOSFETs for RF applications. In: 10th International Symposium on Electron Devices for Microwave and Optoelectronic Devices ( EDMO 2002), Manchester, UK, pp. 101-106.

Yang, L., Watling, J.R., Wilkins, R.C.W., Asenov, A., Barker, J.R., Roy, S. and Hackbarth, T. (2002) Scaling study of Si/SiGe MODFETs for RF applications. In: 10th IEEE International Symposium on Electron Devices for Microwave and Optoelectronic Applications (EDMO), Manchester, UK, 18-19 November 2002, pp. 101-106. ISBN 0780375300

Kaya, S., Asenov, A. and Roy, S. (2001) Breakdown of Universal Mobility Curves in sub-100nm MOSFETs. In: IWCE-8, Illinois, USA,

Kalna, K., Roy, S., Asenov, A., Elgaid, K. and Thayne, I. (2000) RF analysis of aggressively scaled pHEMTs. In: 30th European Solid-State Device Research Conference., Cork, Ireland, 11-13 September 2000, pp. 156-159. ISBN 2863322486

Roy, S., Kaya, S., Asenov, A. and Barker, J.R. (1999) RF analysis methodology for Si and SiGe FETs based on transient Monte Carlo simulation. In: International Conference on Simulation of Semiconductor Processes and Devices., Kyoto, Japan, 6-8 September 1999, pp. 147-150. ISBN 4930813980 (doi: 10.1109/SISPAD.1999.799282)

Roy, S., Kaya, S., Babiker, S., Asenov, A. and Barker, J.R. (1998) Monte Carlo investigation of optimal device architectures for SiGe FETs. In: International Workshop on Computational Electronics, Osaka, Japan, 19-21 October 1998, pp. 210-213. ISBN 0780343697 (doi: 10.1109/IWCE.1998.742749)

Roy, S., Asenov, A., Babiker, S., Barker, J.R. and Beaumont, S.P. (1997) RF performance of strained Si MODFETs and MOSFETs on "virtual" SiGe substrates: A Monte Carlo study. In: European Solid-State Device Research Conference, Stuttgart, Germany, 22-24 September 1997, pp. 192-195. ISBN 2863322214

Exhibitions

Magill, J., Camrass, L. and Roy, S. (2008) Chips with Flair: Taking Semiconductor Technology to New Audiences. [Exhibitions]

This list was generated on Wed Apr 17 05:14:17 2024 BST.